summaryrefslogtreecommitdiff
path: root/android/abi_gki_aarch64_vivo
blob: 679c37e8b9957d1f35324294d0feaa5b5807fce9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
4324
4325
4326
4327
4328
4329
4330
4331
4332
4333
4334
4335
4336
4337
4338
4339
4340
4341
4342
4343
4344
4345
4346
4347
4348
4349
4350
4351
4352
4353
4354
4355
4356
4357
4358
4359
4360
4361
4362
4363
4364
4365
4366
4367
4368
4369
4370
4371
4372
4373
4374
4375
4376
4377
4378
4379
4380
4381
4382
4383
4384
4385
4386
4387
4388
4389
4390
4391
4392
4393
4394
4395
4396
4397
4398
4399
4400
4401
4402
4403
4404
4405
4406
4407
4408
4409
4410
4411
4412
4413
4414
4415
4416
4417
4418
4419
4420
4421
4422
4423
4424
4425
4426
4427
4428
4429
4430
4431
4432
4433
4434
4435
4436
4437
4438
4439
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449
4450
4451
4452
4453
4454
4455
4456
4457
4458
4459
4460
4461
4462
4463
4464
4465
4466
4467
4468
4469
4470
4471
4472
4473
4474
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486
4487
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529
4530
4531
4532
4533
4534
4535
4536
4537
4538
4539
4540
4541
4542
4543
4544
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554
4555
4556
4557
4558
4559
4560
4561
4562
4563
4564
4565
4566
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594
4595
4596
4597
4598
4599
4600
4601
4602
4603
4604
4605
4606
4607
4608
4609
4610
4611
4612
4613
4614
4615
4616
4617
4618
4619
4620
4621
4622
4623
4624
4625
4626
4627
4628
4629
4630
4631
4632
4633
4634
4635
4636
4637
4638
4639
4640
4641
4642
4643
4644
4645
4646
4647
4648
4649
4650
4651
4652
4653
4654
4655
4656
4657
4658
4659
4660
4661
4662
4663
4664
4665
4666
4667
4668
4669
4670
4671
4672
4673
4674
4675
4676
4677
4678
4679
4680
4681
4682
4683
4684
4685
4686
4687
4688
4689
4690
4691
4692
4693
4694
4695
4696
4697
4698
4699
4700
4701
4702
4703
4704
4705
4706
4707
4708
4709
4710
4711
4712
4713
4714
4715
4716
4717
4718
4719
4720
4721
4722
4723
4724
4725
4726
4727
4728
4729
4730
4731
4732
4733
4734
4735
4736
4737
4738
4739
4740
4741
4742
4743
4744
4745
4746
4747
4748
4749
4750
4751
4752
4753
4754
4755
4756
4757
4758
4759
4760
4761
4762
4763
4764
4765
4766
4767
4768
4769
4770
4771
4772
4773
4774
4775
4776
4777
4778
4779
4780
4781
4782
4783
4784
4785
4786
4787
4788
4789
4790
4791
4792
4793
4794
4795
4796
4797
4798
4799
4800
4801
4802
4803
4804
4805
4806
4807
4808
4809
4810
4811
4812
4813
4814
4815
4816
4817
4818
4819
4820
4821
4822
4823
4824
4825
4826
4827
4828
4829
4830
4831
4832
4833
4834
4835
4836
4837
4838
4839
4840
4841
4842
4843
4844
4845
4846
4847
4848
4849
4850
4851
4852
4853
4854
4855
4856
4857
4858
4859
4860
4861
4862
4863
4864
4865
4866
4867
4868
4869
4870
4871
4872
4873
4874
4875
4876
4877
4878
4879
4880
4881
4882
4883
4884
4885
4886
4887
4888
4889
4890
4891
4892
4893
4894
4895
4896
4897
4898
4899
4900
4901
4902
4903
4904
4905
4906
4907
4908
4909
4910
4911
4912
4913
4914
4915
4916
4917
4918
4919
4920
4921
4922
4923
4924
4925
4926
4927
4928
4929
4930
4931
4932
4933
4934
4935
4936
4937
4938
4939
4940
4941
4942
4943
4944
4945
4946
4947
4948
4949
4950
4951
4952
4953
4954
4955
4956
4957
4958
4959
4960
4961
4962
4963
4964
4965
4966
4967
4968
4969
4970
4971
4972
4973
4974
4975
4976
4977
4978
4979
4980
4981
4982
4983
4984
4985
4986
4987
4988
4989
4990
4991
4992
4993
4994
4995
4996
4997
4998
4999
5000
5001
5002
5003
5004
5005
5006
5007
5008
5009
5010
5011
5012
5013
5014
5015
5016
5017
5018
5019
5020
5021
5022
5023
5024
5025
5026
5027
5028
5029
5030
5031
5032
5033
5034
5035
5036
5037
5038
5039
5040
5041
5042
5043
5044
5045
5046
5047
5048
5049
5050
5051
5052
5053
5054
5055
5056
5057
5058
5059
5060
5061
5062
5063
5064
5065
5066
5067
5068
5069
5070
5071
5072
5073
5074
5075
5076
5077
5078
5079
5080
5081
5082
5083
5084
5085
5086
5087
5088
5089
5090
5091
5092
5093
5094
5095
5096
5097
5098
5099
5100
5101
5102
5103
5104
5105
5106
5107
5108
5109
5110
5111
5112
5113
5114
5115
5116
5117
5118
5119
5120
5121
5122
5123
5124
5125
5126
5127
5128
5129
5130
5131
5132
5133
5134
5135
5136
5137
5138
5139
5140
5141
5142
5143
5144
5145
5146
5147
5148
5149
5150
5151
[abi_symbol_list]
  I_BDEV
  __ClearPageMovable
  __SetPageMovable
  ___drm_dbg
  ___pskb_trim
  ___ratelimit
  __alloc_pages
  __alloc_percpu
  __alloc_percpu_gfp
  __alloc_skb
  __arch_clear_user
  __arch_copy_from_user
  __arch_copy_to_user
  __arm_smccc_smc
  __arm_smccc_sve_check
  __auxiliary_driver_register
  __balance_callbacks
  __bitmap_and
  __bitmap_andnot
  __bitmap_clear
  __bitmap_complement
  __bitmap_equal
  __bitmap_intersects
  __bitmap_or
  __bitmap_set
  __bitmap_subset
  __bitmap_weight
  __bitmap_xor
  __blk_alloc_disk
  __blk_mq_alloc_disk
  __blk_mq_end_request
  __blk_rq_map_sg
  __check_object_size
  __class_create
  __class_register
  __clk_determine_rate
  __clk_get_hw
  __clk_get_name
  __clk_is_enabled
  __clk_mux_determine_rate
  __clk_mux_determine_rate_closest
  __clocksource_register_scale
  __const_udelay
  __copy_overflow
  __cpu_active_mask
  __cpu_dying_mask
  __cpu_online_mask
  __cpu_possible_mask
  __cpu_present_mask
  __cpufreq_driver_target
  __cpuhp_remove_state
  __cpuhp_setup_state
  __cpuhp_setup_state_cpuslocked
  __cpuhp_state_add_instance
  __cpuhp_state_remove_instance
  __crypto_memneq
  __crypto_xor
  __dev_change_net_namespace
  __dev_get_by_index
  __dev_get_by_name
  __dev_kfree_skb_any
  __dev_kfree_skb_irq
  __dev_queue_xmit
  __devm_alloc_percpu
  __devm_clk_hw_register_divider
  __devm_clk_hw_register_mux
  __devm_drm_dev_alloc
  __devm_iio_device_register
  __devm_irq_alloc_descs
  __devm_of_phy_provider_register
  __devm_regmap_init
  __devm_regmap_init_i2c
  __devm_regmap_init_mmio_clk
  __devm_regmap_init_spi
  __devm_regmap_init_spmi_ext
  __devm_request_region
  __devm_reset_control_bulk_get
  __devm_reset_control_get
  __devm_rtc_register_device
  __devm_spi_alloc_controller
  __devres_alloc_node
  __dma_request_channel
  __drm_atomic_helper_connector_destroy_state
  __drm_atomic_helper_connector_duplicate_state
  __drm_atomic_helper_connector_reset
  __drm_atomic_helper_crtc_destroy_state
  __drm_atomic_helper_crtc_duplicate_state
  __drm_atomic_helper_crtc_reset
  __drm_atomic_helper_plane_destroy_state
  __drm_atomic_helper_plane_duplicate_state
  __drm_atomic_helper_plane_reset
  __drm_atomic_helper_private_obj_duplicate_state
  __drm_atomic_state_free
  __drm_crtc_commit_free
  __drm_debug
  __drm_dev_dbg
  __drm_err
  __drm_gem_destroy_shadow_plane_state
  __drm_gem_duplicate_shadow_plane_state
  __drm_gem_reset_shadow_plane
  __drm_printfn_coredump
  __drm_printfn_debug
  __drm_printfn_info
  __drm_printfn_seq_file
  __drm_puts_coredump
  __drm_puts_seq_file
  __drmm_universal_plane_alloc
  __dynamic_dev_dbg
  __dynamic_pr_debug
  __ethtool_get_link_ksettings
  __fdget
  __flush_workqueue
  __folio_lock
  __folio_put
  __free_pages
  __genphy_config_aneg
  __get_free_pages
  __get_random_u32_below
  __get_task_comm
  __get_task_ioprio
  __getblk_gfp
  __hci_cmd_send
  __hci_cmd_sync
  __hci_cmd_sync_ev
  __hci_cmd_sync_sk
  __hci_cmd_sync_status
  __hci_cmd_sync_status_sk
  __hrtimer_get_remaining
  __hvc_resize
  __hw_addr_init
  __hw_addr_sync
  __hw_addr_unsync
  __hwspin_lock_timeout
  __hwspin_unlock
  __i2c_smbus_xfer
  __i2c_transfer
  __inet6_lookup_established
  __inet_lookup_established
  __init_rwsem
  __init_swait_queue_head
  __init_waitqueue_head
  __ioread32_copy
  __iowrite32_copy
  __ip_dev_find
  __ip_select_ident
  __ipv6_addr_type
  __irq_alloc_descs
  __irq_apply_affinity_hint
  __irq_domain_add
  __irq_resolve_mapping
  __irq_set_handler
  __kfifo_alloc
  __kfifo_free
  __kfifo_from_user
  __kfifo_from_user_r
  __kfifo_in
  __kfifo_in_r
  __kfifo_init
  __kfifo_len_r
  __kfifo_out
  __kfifo_out_peek
  __kfifo_out_r
  __kfifo_to_user
  __kfifo_to_user_r
  __kmalloc
  __kmalloc_node
  __kmalloc_node_track_caller
  __kthread_init_worker
  __list_add_valid
  __list_del_entry_valid
  __local_bh_enable_ip
  __lock_buffer
  __mdiobus_read
  __mdiobus_write
  __media_device_register
  __memcat_p
  __memcpy_fromio
  __memcpy_toio
  __memset_io
  __migrate_task
  __mmap_lock_do_trace_acquire_returned
  __mmap_lock_do_trace_released
  __mmap_lock_do_trace_start_locking
  __mmdrop
  __module_get
  __module_put_and_kthread_exit
  __msecs_to_jiffies
  __mutex_init
  __napi_alloc_skb
  __napi_schedule
  __ndelay
  __ndisc_fill_addr_option
  __netdev_alloc_skb
  __netif_napi_del
  __netif_set_xps_queue
  __netlink_dump_start
  __netlink_kernel_create
  __nfc_alloc_vendor_cmd_reply_skb
  __nla_parse
  __nla_validate
  __nlmsg_put
  __num_online_cpus
  __of_get_address
  __of_parse_phandle_with_args
  __of_reset_control_get
  __page_frag_cache_drain
  __page_mapcount
  __pagevec_release
  __pci_register_driver
  __per_cpu_offset
  __percpu_down_read
  __percpu_init_rwsem
  __platform_driver_probe
  __platform_driver_register
  __pm_relax
  __pm_runtime_disable
  __pm_runtime_idle
  __pm_runtime_resume
  __pm_runtime_set_status
  __pm_runtime_suspend
  __pm_runtime_use_autosuspend
  __pm_stay_awake
  __printk_ratelimit
  __pskb_copy_fclone
  __pskb_pull_tail
  __put_net
  __rb_erase_color
  __rb_insert_augmented
  __rcu_read_lock
  __rcu_read_unlock
  __refrigerator
  __register_blkdev
  __register_chrdev
  __register_rpmsg_driver
  __regmap_init
  __release_region
  __request_module
  __request_percpu_irq
  __request_region
  __reset_control_get
  __rht_bucket_nested
  __rt_mutex_init
  __sbitmap_queue_get
  __scsi_add_device
  __scsi_iterate_devices
  __scsi_print_sense
  __sdhci_add_host
  __sdhci_set_timeout
  __seq_open_private
  __serdev_device_driver_register
  __serio_register_driver
  __serio_register_port
  __sg_page_iter_dma_next
  __sg_page_iter_next
  __sg_page_iter_start
  __sk_receive_skb
  __skb_checksum_complete
  __skb_ext_put
  __skb_flow_dissect
  __skb_get_hash
  __skb_gso_segment
  __skb_pad
  __sock_create
  __sock_queue_rcv_skb
  __sock_recv_cmsgs
  __sock_recv_timestamp
  __sock_recv_wifi_status
  __sock_tx_timestamp
  __spi_alloc_controller
  __spi_register_driver
  __splice_from_pipe
  __spmi_driver_register
  __srcu_read_lock
  __srcu_read_unlock
  __stack_chk_fail
  __sw_hweight16
  __sw_hweight32
  __sw_hweight64
  __sw_hweight8
  __sysfs_match_string
  __task_pid_nr_ns
  __task_rq_lock
  __tasklet_hi_schedule
  __tasklet_schedule
  __trace_bprintk
  __trace_puts
  __trace_trigger_soft_disabled
  __traceiter_android_rvh_account_irq
  __traceiter_android_rvh_after_dequeue_task
  __traceiter_android_rvh_after_enqueue_task
  __traceiter_android_rvh_arm64_serror_panic
  __traceiter_android_rvh_audio_usb_offload_disconnect
  __traceiter_android_rvh_build_perf_domains
  __traceiter_android_rvh_can_migrate_task
  __traceiter_android_rvh_check_preempt_tick
  __traceiter_android_rvh_check_preempt_wakeup
  __traceiter_android_rvh_check_preempt_wakeup_ignore
  __traceiter_android_rvh_cpu_cgroup_attach
  __traceiter_android_rvh_cpu_cgroup_online
  __traceiter_android_rvh_cpu_overutilized
  __traceiter_android_rvh_dequeue_entity
  __traceiter_android_rvh_dequeue_task
  __traceiter_android_rvh_dequeue_task_fair
  __traceiter_android_rvh_die_kernel_fault
  __traceiter_android_rvh_do_el1_bti
  __traceiter_android_rvh_do_el1_fpac
  __traceiter_android_rvh_do_sched_yield
  __traceiter_android_rvh_do_sea
  __traceiter_android_rvh_do_sp_pc_abort
  __traceiter_android_rvh_do_undefinstr
  __traceiter_android_rvh_enqueue_entity
  __traceiter_android_rvh_enqueue_task
  __traceiter_android_rvh_enqueue_task_fair
  __traceiter_android_rvh_entity_tick
  __traceiter_android_rvh_find_busiest_group
  __traceiter_android_rvh_find_busiest_queue
  __traceiter_android_rvh_find_lowest_rq
  __traceiter_android_rvh_finish_prio_fork
  __traceiter_android_rvh_flush_task
  __traceiter_android_rvh_get_nohz_timer_target
  __traceiter_android_rvh_gic_v3_set_affinity
  __traceiter_android_rvh_iommu_alloc_insert_iova
  __traceiter_android_rvh_iommu_iovad_init_alloc_algo
  __traceiter_android_rvh_iommu_limit_align_shift
  __traceiter_android_rvh_iommu_setup_dma_ops
  __traceiter_android_rvh_is_cpu_allowed
  __traceiter_android_rvh_migrate_queued_task
  __traceiter_android_rvh_new_task_stats
  __traceiter_android_rvh_panic_unhandled
  __traceiter_android_rvh_pick_next_entity
  __traceiter_android_rvh_place_entity
  __traceiter_android_rvh_prepare_prio_fork
  __traceiter_android_rvh_replace_next_task_fair
  __traceiter_android_rvh_report_bug
  __traceiter_android_rvh_rtmutex_prepare_setprio
  __traceiter_android_rvh_rto_next_cpu
  __traceiter_android_rvh_sched_balance_rt
  __traceiter_android_rvh_sched_cpu_dying
  __traceiter_android_rvh_sched_cpu_starting
  __traceiter_android_rvh_sched_exec
  __traceiter_android_rvh_sched_fork
  __traceiter_android_rvh_sched_fork_init
  __traceiter_android_rvh_sched_getaffinity
  __traceiter_android_rvh_sched_newidle_balance
  __traceiter_android_rvh_sched_nohz_balancer_kick
  __traceiter_android_rvh_sched_rebalance_domains
  __traceiter_android_rvh_sched_setaffinity
  __traceiter_android_rvh_schedule
  __traceiter_android_rvh_schedule_bug
  __traceiter_android_rvh_select_fallback_rq
  __traceiter_android_rvh_select_task_rq_fair
  __traceiter_android_rvh_select_task_rq_rt
  __traceiter_android_rvh_set_balance_anon_file_reclaim
  __traceiter_android_rvh_set_cpus_allowed_by_task
  __traceiter_android_rvh_set_task_cpu
  __traceiter_android_rvh_set_user_nice
  __traceiter_android_rvh_setscheduler
  __traceiter_android_rvh_shmem_get_folio
  __traceiter_android_rvh_show_max_freq
  __traceiter_android_rvh_tick_entry
  __traceiter_android_rvh_try_to_wake_up
  __traceiter_android_rvh_try_to_wake_up_success
  __traceiter_android_rvh_ttwu_cond
  __traceiter_android_rvh_uclamp_eff_get
  __traceiter_android_rvh_update_cpu_capacity
  __traceiter_android_rvh_update_misfit_status
  __traceiter_android_rvh_update_thermal_stats
  __traceiter_android_rvh_util_est_update
  __traceiter_android_rvh_wake_up_new_task
  __traceiter_android_vh_audio_usb_offload_connect
  __traceiter_android_vh_binder_restore_priority
  __traceiter_android_vh_binder_set_priority
  __traceiter_android_vh_binder_transaction_init
  __traceiter_android_vh_binder_wait_for_work
  __traceiter_android_vh_binder_wakeup_ilocked
  __traceiter_android_vh_build_sched_domains
  __traceiter_android_vh_check_uninterrupt_tasks
  __traceiter_android_vh_check_uninterrupt_tasks_done
  __traceiter_android_vh_cpu_idle_enter
  __traceiter_android_vh_cpu_idle_exit
  __traceiter_android_vh_cpufreq_fast_switch
  __traceiter_android_vh_cpufreq_resolve_freq
  __traceiter_android_vh_cpufreq_target
  __traceiter_android_vh_cpuidle_psci_enter
  __traceiter_android_vh_cpuidle_psci_exit
  __traceiter_android_vh_disable_thermal_cooling_stats
  __traceiter_android_vh_do_wake_up_sync
  __traceiter_android_vh_dump_throttled_rt_tasks
  __traceiter_android_vh_free_task
  __traceiter_android_vh_ftrace_dump_buffer
  __traceiter_android_vh_ftrace_format_check
  __traceiter_android_vh_ftrace_oops_enter
  __traceiter_android_vh_ftrace_oops_exit
  __traceiter_android_vh_ftrace_size_check
  __traceiter_android_vh_ignore_dmabuf_vmap_bounds
  __traceiter_android_vh_ipi_stop
  __traceiter_android_vh_is_fpsimd_save
  __traceiter_android_vh_jiffies_update
  __traceiter_android_vh_mmap_region
  __traceiter_android_vh_mutex_wait_finish
  __traceiter_android_vh_mutex_wait_start
  __traceiter_android_vh_printk_hotplug
  __traceiter_android_vh_rproc_recovery
  __traceiter_android_vh_rproc_recovery_set
  __traceiter_android_vh_rtmutex_wait_finish
  __traceiter_android_vh_rtmutex_wait_start
  __traceiter_android_vh_rwsem_read_wait_finish
  __traceiter_android_vh_rwsem_read_wait_start
  __traceiter_android_vh_rwsem_write_wait_finish
  __traceiter_android_vh_rwsem_write_wait_start
  __traceiter_android_vh_sched_show_task
  __traceiter_android_vh_scheduler_tick
  __traceiter_android_vh_setscheduler_uclamp
  __traceiter_android_vh_show_resume_epoch_val
  __traceiter_android_vh_show_suspend_epoch_val
  __traceiter_android_vh_sysrq_crash
  __traceiter_android_vh_timer_calc_index
  __traceiter_android_vh_try_to_freeze_todo
  __traceiter_android_vh_try_to_freeze_todo_unfrozen
  __traceiter_android_vh_try_to_unmap_one
  __traceiter_android_vh_tune_scan_type
  __traceiter_android_vh_ufs_check_int_errors
  __traceiter_android_vh_ufs_clock_scaling
  __traceiter_android_vh_ufs_compl_command
  __traceiter_android_vh_ufs_fill_prdt
  __traceiter_android_vh_ufs_prepare_command
  __traceiter_android_vh_ufs_send_command
  __traceiter_android_vh_ufs_send_tm_command
  __traceiter_android_vh_ufs_send_uic_command
  __traceiter_android_vh_ufs_update_sdev
  __traceiter_android_vh_ufs_update_sysfs
  __traceiter_android_vh_update_topology_flags_workfn
  __traceiter_android_vh_watchdog_timer_softlockup
  __traceiter_binder_transaction_received
  __traceiter_block_rq_insert
  __traceiter_clock_set_rate
  __traceiter_console
  __traceiter_cpu_frequency_limits
  __traceiter_cpu_idle
  __traceiter_device_pm_callback_end
  __traceiter_device_pm_callback_start
  __traceiter_dma_fence_emit
  __traceiter_gpu_mem_total
  __traceiter_hrtimer_expire_entry
  __traceiter_hrtimer_expire_exit
  __traceiter_ipi_entry
  __traceiter_ipi_raise
  __traceiter_irq_handler_entry
  __traceiter_irq_handler_exit
  __traceiter_mmap_lock_acquire_returned
  __traceiter_mmap_lock_released
  __traceiter_mmap_lock_start_locking
  __traceiter_sched_overutilized_tp
  __traceiter_sched_switch
  __traceiter_suspend_resume
  __traceiter_workqueue_execute_start
  __traceiter_xdp_exception
  __tracepoint_android_rvh_account_irq
  __tracepoint_android_rvh_after_dequeue_task
  __tracepoint_android_rvh_after_enqueue_task
  __tracepoint_android_rvh_arm64_serror_panic
  __tracepoint_android_rvh_audio_usb_offload_disconnect
  __tracepoint_android_rvh_build_perf_domains
  __tracepoint_android_rvh_can_migrate_task
  __tracepoint_android_rvh_check_preempt_tick
  __tracepoint_android_rvh_check_preempt_wakeup
  __tracepoint_android_rvh_check_preempt_wakeup_ignore
  __tracepoint_android_rvh_cpu_cgroup_attach
  __tracepoint_android_rvh_cpu_cgroup_online
  __tracepoint_android_rvh_cpu_overutilized
  __tracepoint_android_rvh_dequeue_entity
  __tracepoint_android_rvh_dequeue_task
  __tracepoint_android_rvh_dequeue_task_fair
  __tracepoint_android_rvh_die_kernel_fault
  __tracepoint_android_rvh_do_el1_bti
  __tracepoint_android_rvh_do_el1_fpac
  __tracepoint_android_rvh_do_sched_yield
  __tracepoint_android_rvh_do_sea
  __tracepoint_android_rvh_do_sp_pc_abort
  __tracepoint_android_rvh_do_undefinstr
  __tracepoint_android_rvh_enqueue_entity
  __tracepoint_android_rvh_enqueue_task
  __tracepoint_android_rvh_enqueue_task_fair
  __tracepoint_android_rvh_entity_tick
  __tracepoint_android_rvh_find_busiest_group
  __tracepoint_android_rvh_find_busiest_queue
  __tracepoint_android_rvh_find_lowest_rq
  __tracepoint_android_rvh_finish_prio_fork
  __tracepoint_android_rvh_flush_task
  __tracepoint_android_rvh_get_nohz_timer_target
  __tracepoint_android_rvh_gic_v3_set_affinity
  __tracepoint_android_rvh_iommu_alloc_insert_iova
  __tracepoint_android_rvh_iommu_iovad_init_alloc_algo
  __tracepoint_android_rvh_iommu_limit_align_shift
  __tracepoint_android_rvh_iommu_setup_dma_ops
  __tracepoint_android_rvh_is_cpu_allowed
  __tracepoint_android_rvh_migrate_queued_task
  __tracepoint_android_rvh_new_task_stats
  __tracepoint_android_rvh_panic_unhandled
  __tracepoint_android_rvh_pick_next_entity
  __tracepoint_android_rvh_place_entity
  __tracepoint_android_rvh_prepare_prio_fork
  __tracepoint_android_rvh_replace_next_task_fair
  __tracepoint_android_rvh_report_bug
  __tracepoint_android_rvh_rtmutex_prepare_setprio
  __tracepoint_android_rvh_rto_next_cpu
  __tracepoint_android_rvh_sched_balance_rt
  __tracepoint_android_rvh_sched_cpu_dying
  __tracepoint_android_rvh_sched_cpu_starting
  __tracepoint_android_rvh_sched_exec
  __tracepoint_android_rvh_sched_fork
  __tracepoint_android_rvh_sched_fork_init
  __tracepoint_android_rvh_sched_getaffinity
  __tracepoint_android_rvh_sched_newidle_balance
  __tracepoint_android_rvh_sched_nohz_balancer_kick
  __tracepoint_android_rvh_sched_rebalance_domains
  __tracepoint_android_rvh_sched_setaffinity
  __tracepoint_android_rvh_schedule
  __tracepoint_android_rvh_schedule_bug
  __tracepoint_android_rvh_select_fallback_rq
  __tracepoint_android_rvh_select_task_rq_fair
  __tracepoint_android_rvh_select_task_rq_rt
  __tracepoint_android_rvh_set_balance_anon_file_reclaim
  __tracepoint_android_rvh_set_cpus_allowed_by_task
  __tracepoint_android_rvh_set_task_cpu
  __tracepoint_android_rvh_set_user_nice
  __tracepoint_android_rvh_setscheduler
  __tracepoint_android_rvh_shmem_get_folio
  __tracepoint_android_rvh_show_max_freq
  __tracepoint_android_rvh_tick_entry
  __tracepoint_android_rvh_try_to_wake_up
  __tracepoint_android_rvh_try_to_wake_up_success
  __tracepoint_android_rvh_ttwu_cond
  __tracepoint_android_rvh_uclamp_eff_get
  __tracepoint_android_rvh_update_cpu_capacity
  __tracepoint_android_rvh_update_misfit_status
  __tracepoint_android_rvh_update_thermal_stats
  __tracepoint_android_rvh_util_est_update
  __tracepoint_android_rvh_wake_up_new_task
  __tracepoint_android_vh_audio_usb_offload_connect
  __tracepoint_android_vh_binder_restore_priority
  __tracepoint_android_vh_binder_set_priority
  __tracepoint_android_vh_binder_transaction_init
  __tracepoint_android_vh_binder_wait_for_work
  __tracepoint_android_vh_binder_wakeup_ilocked
  __tracepoint_android_vh_build_sched_domains
  __tracepoint_android_vh_check_uninterrupt_tasks
  __tracepoint_android_vh_check_uninterrupt_tasks_done
  __tracepoint_android_vh_cpu_idle_enter
  __tracepoint_android_vh_cpu_idle_exit
  __tracepoint_android_vh_cpufreq_fast_switch
  __tracepoint_android_vh_cpufreq_resolve_freq
  __tracepoint_android_vh_cpufreq_target
  __tracepoint_android_vh_cpuidle_psci_enter
  __tracepoint_android_vh_cpuidle_psci_exit
  __tracepoint_android_vh_disable_thermal_cooling_stats
  __tracepoint_android_vh_do_wake_up_sync
  __tracepoint_android_vh_dump_throttled_rt_tasks
  __tracepoint_android_vh_free_task
  __tracepoint_android_vh_ftrace_dump_buffer
  __tracepoint_android_vh_ftrace_format_check
  __tracepoint_android_vh_ftrace_oops_enter
  __tracepoint_android_vh_ftrace_oops_exit
  __tracepoint_android_vh_ftrace_size_check
  __tracepoint_android_vh_ignore_dmabuf_vmap_bounds
  __tracepoint_android_vh_ipi_stop
  __tracepoint_android_vh_is_fpsimd_save
  __tracepoint_android_vh_jiffies_update
  __tracepoint_android_vh_mmap_region
  __tracepoint_android_vh_mutex_wait_finish
  __tracepoint_android_vh_mutex_wait_start
  __tracepoint_android_vh_printk_hotplug
  __tracepoint_android_vh_rproc_recovery
  __tracepoint_android_vh_rproc_recovery_set
  __tracepoint_android_vh_rtmutex_wait_finish
  __tracepoint_android_vh_rtmutex_wait_start
  __tracepoint_android_vh_rwsem_read_wait_finish
  __tracepoint_android_vh_rwsem_read_wait_start
  __tracepoint_android_vh_rwsem_write_wait_finish
  __tracepoint_android_vh_rwsem_write_wait_start
  __tracepoint_android_vh_sched_show_task
  __tracepoint_android_vh_scheduler_tick
  __tracepoint_android_vh_setscheduler_uclamp
  __tracepoint_android_vh_show_resume_epoch_val
  __tracepoint_android_vh_show_suspend_epoch_val
  __tracepoint_android_vh_sysrq_crash
  __tracepoint_android_vh_timer_calc_index
  __tracepoint_android_vh_try_to_unmap_one
  __tracepoint_android_vh_try_to_freeze_todo
  __tracepoint_android_vh_try_to_freeze_todo_unfrozen
  __tracepoint_android_vh_tune_scan_type
  __tracepoint_android_vh_ufs_check_int_errors
  __tracepoint_android_vh_ufs_clock_scaling
  __tracepoint_android_vh_ufs_compl_command
  __tracepoint_android_vh_ufs_fill_prdt
  __tracepoint_android_vh_ufs_prepare_command
  __tracepoint_android_vh_ufs_send_command
  __tracepoint_android_vh_ufs_send_tm_command
  __tracepoint_android_vh_ufs_send_uic_command
  __tracepoint_android_vh_ufs_update_sdev
  __tracepoint_android_vh_ufs_update_sysfs
  __tracepoint_android_vh_update_topology_flags_workfn
  __tracepoint_android_vh_watchdog_timer_softlockup
  __tracepoint_binder_transaction_received
  __tracepoint_block_rq_insert
  __tracepoint_clock_set_rate
  __tracepoint_console
  __tracepoint_cpu_frequency_limits
  __tracepoint_cpu_idle
  __tracepoint_device_pm_callback_end
  __tracepoint_device_pm_callback_start
  __tracepoint_dma_fence_emit
  __tracepoint_gpu_mem_total
  __tracepoint_hrtimer_expire_entry
  __tracepoint_hrtimer_expire_exit
  __tracepoint_ipi_entry
  __tracepoint_ipi_raise
  __tracepoint_irq_handler_entry
  __tracepoint_irq_handler_exit
  __tracepoint_mmap_lock_acquire_returned
  __tracepoint_mmap_lock_released
  __tracepoint_mmap_lock_start_locking
  __tracepoint_sched_overutilized_tp
  __tracepoint_sched_switch
  __tracepoint_suspend_resume
  __tracepoint_workqueue_execute_start
  __tracepoint_xdp_exception
  __tty_alloc_driver
  __tty_insert_flip_char
  __udelay
  __udp4_lib_lookup
  __udp6_lib_lookup
  __uio_register_device
  __unregister_chrdev
  __usb_create_hcd
  __usecs_to_jiffies
  __v4l2_ctrl_modify_range
  __v4l2_ctrl_s_ctrl
  __v4l2_device_register_subdev_nodes
  __var_waitqueue
  __video_register_device
  __vmalloc
  __wait_on_buffer
  __wait_rcu_gp
  __wake_up
  __wake_up_locked
  __wake_up_sync
  __wake_up_sync_key
  __warn_printk
  __xa_alloc
  __xa_alloc_cyclic
  __xa_insert
  __xdp_rxq_info_reg
  __xfrm_state_destroy
  _copy_from_iter
  _copy_to_iter
  _ctype
  _dev_crit
  _dev_emerg
  _dev_err
  _dev_info
  _dev_notice
  _dev_printk
  _dev_warn
  _find_first_and_bit
  _find_first_bit
  _find_first_zero_bit
  _find_last_bit
  _find_next_and_bit
  _find_next_bit
  _find_next_zero_bit
  _printk
  _printk_deferred
  _proc_mkdir
  _raw_read_lock
  _raw_read_lock_bh
  _raw_read_lock_irq
  _raw_read_lock_irqsave
  _raw_read_unlock
  _raw_read_unlock_bh
  _raw_read_unlock_irq
  _raw_read_unlock_irqrestore
  _raw_spin_lock
  _raw_spin_lock_bh
  _raw_spin_lock_irq
  _raw_spin_lock_irqsave
  _raw_spin_trylock
  _raw_spin_trylock_bh
  _raw_spin_unlock
  _raw_spin_unlock_bh
  _raw_spin_unlock_irq
  _raw_spin_unlock_irqrestore
  _raw_write_lock
  _raw_write_lock_bh
  _raw_write_lock_irq
  _raw_write_lock_irqsave
  _raw_write_trylock
  _raw_write_unlock
  _raw_write_unlock_bh
  _raw_write_unlock_irq
  _raw_write_unlock_irqrestore
  _snd_pcm_hw_params_any
  _totalram_pages
  access_process_vm
  activate_task
  add_cpu
  add_device_randomness
  add_memory
  add_taint
  add_timer
  add_timer_on
  add_uevent_var
  add_wait_queue
  add_wait_queue_exclusive
  addrconf_add_linklocal
  addrconf_prefix_rcv_add_addr
  adjust_managed_page_count
  aes_encrypt
  aes_expandkey
  alarm_cancel
  alarm_init
  alarm_start
  alarm_start_relative
  alarm_try_to_cancel
  alarmtimer_get_rtcdev
  all_vm_events
  alloc_anon_inode
  alloc_can_err_skb
  alloc_can_skb
  alloc_candev_mqs
  alloc_canfd_skb
  alloc_canxl_skb
  alloc_chrdev_region
  alloc_etherdev_mqs
  alloc_io_pgtable_ops
  alloc_netdev_mqs
  alloc_pages_exact
  alloc_skb_with_frags
  alloc_workqueue
  alt_cb_patch_nops
  amba_bustype
  amba_driver_register
  amba_driver_unregister
  amba_release_regions
  amba_request_regions
  android_rvh_probe_register
  anon_inode_getfd
  anon_inode_getfile
  arc4_crypt
  arc4_setkey
  arch_freq_scale
  arch_timer_read_counter
  argv_free
  argv_split
  arm64_use_ng_mappings
  async_schedule_node
  async_schedule_node_domain
  async_synchronize_cookie
  async_synchronize_full_domain
  atomic_notifier_call_chain
  atomic_notifier_chain_register
  atomic_notifier_chain_unregister
  autoremove_wake_function
  auxiliary_driver_unregister
  available_idle_cpu
  backlight_device_get_by_type
  backlight_device_register
  backlight_device_set_brightness
  backlight_device_unregister
  balance_dirty_pages_ratelimited
  balance_push_callback
  balloon_mops
  balloon_page_alloc
  balloon_page_dequeue
  balloon_page_enqueue
  baswap
  bcmp
  bdev_end_io_acct
  bdev_start_io_acct
  bdi_alloc
  bdi_put
  bdi_register
  bgpio_init
  bin2hex
  bio_add_page
  bio_alloc_bioset
  bio_associate_blkg
  bio_chain
  bio_clone_blkg_association
  bio_end_io_acct_remapped
  bio_endio
  bio_put
  bio_start_io_acct
  bit_wait
  bit_wait_timeout
  bitmap_allocate_region
  bitmap_find_next_zero_area_off
  bitmap_free
  bitmap_from_arr32
  bitmap_parse
  bitmap_parselist
  bitmap_print_to_pagebuf
  bitmap_release_region
  bitmap_to_arr32
  bitmap_zalloc
  blk_bio_list_merge
  blk_execute_rq
  blk_execute_rq_nowait
  blk_fill_rwbs
  blk_mq_alloc_request
  blk_mq_alloc_sq_tag_set
  blk_mq_alloc_tag_set
  blk_mq_complete_request
  blk_mq_debugfs_rq_show
  blk_mq_end_request
  blk_mq_end_request_batch
  blk_mq_free_request
  blk_mq_free_tag_set
  blk_mq_freeze_queue
  blk_mq_init_queue
  blk_mq_map_queues
  blk_mq_quiesce_queue
  blk_mq_requeue_request
  blk_mq_rq_cpu
  blk_mq_run_hw_queue
  blk_mq_start_request
  blk_mq_start_stopped_hw_queues
  blk_mq_stop_hw_queue
  blk_mq_tagset_busy_iter
  blk_mq_unfreeze_queue
  blk_mq_unquiesce_queue
  blk_mq_virtio_map_queues
  blk_queue_alignment_offset
  blk_queue_flag_clear
  blk_queue_flag_set
  blk_queue_io_min
  blk_queue_io_opt
  blk_queue_logical_block_size
  blk_queue_max_discard_sectors
  blk_queue_max_discard_segments
  blk_queue_max_hw_sectors
  blk_queue_max_secure_erase_sectors
  blk_queue_max_segment_size
  blk_queue_max_segments
  blk_queue_max_write_zeroes_sectors
  blk_queue_physical_block_size
  blk_queue_update_dma_alignment
  blk_queue_update_dma_pad
  blk_queue_write_cache
  blk_rq_map_kern
  blk_rq_map_user
  blk_rq_map_user_io
  blk_rq_map_user_iov
  blk_rq_unmap_user
  blk_stat_disable_accounting
  blk_stat_enable_accounting
  blk_status_to_errno
  blk_update_request
  blkdev_get_by_dev
  blkdev_get_by_path
  blkdev_issue_flush
  blkdev_put
  blocking_notifier_call_chain
  blocking_notifier_chain_register
  blocking_notifier_chain_unregister
  bpf_dispatcher_xdp_func
  bpf_master_redirect_enabled_key
  bpf_prog_add
  bpf_prog_put
  bpf_prog_sub
  bpf_stats_enabled_key
  bpf_trace_run1
  bpf_trace_run10
  bpf_trace_run11
  bpf_trace_run12
  bpf_trace_run2
  bpf_trace_run3
  bpf_trace_run4
  bpf_trace_run5
  bpf_trace_run6
  bpf_trace_run7
  bpf_trace_run8
  bpf_trace_run9
  bpf_warn_invalid_xdp_action
  bsg_job_done
  bsg_remove_queue
  bsg_setup_queue
  bt_accept_dequeue
  bt_accept_enqueue
  bt_accept_unlink
  bt_debugfs
  bt_err
  bt_err_ratelimited
  bt_info
  bt_procfs_cleanup
  bt_procfs_init
  bt_sock_ioctl
  bt_sock_link
  bt_sock_poll
  bt_sock_reclassify_lock
  bt_sock_recvmsg
  bt_sock_register
  bt_sock_stream_recvmsg
  bt_sock_unlink
  bt_sock_unregister
  bt_sock_wait_ready
  bt_sock_wait_state
  bt_status
  bt_to_errno
  bt_warn
  bt_warn_ratelimited
  btbcm_check_bdaddr
  btbcm_finalize
  btbcm_initialize
  btbcm_patchram
  btbcm_read_pcm_int_params
  btbcm_set_bdaddr
  btbcm_setup_apple
  btbcm_setup_patchram
  btbcm_write_pcm_int_params
  build_skb
  bus_find_device
  bus_for_each_dev
  bus_for_each_drv
  bus_register
  bus_register_notifier
  bus_unregister
  bus_unregister_notifier
  cache_line_size
  caches_clean_inval_pou
  call_netdevice_notifiers
  call_rcu
  call_rcu_tasks
  call_rcu_tasks_trace
  call_srcu
  can_bus_off
  can_change_mtu
  can_change_state
  can_dropped_invalid_skb
  can_eth_ioctl_hwts
  can_ethtool_op_get_ts_info_hwts
  can_fd_dlc2len
  can_fd_len2dlc
  can_free_echo_skb
  can_get_echo_skb
  can_get_state_str
  can_proto_register
  can_proto_unregister
  can_put_echo_skb
  can_rx_offload_add_fifo
  can_rx_offload_add_manual
  can_rx_offload_add_timestamp
  can_rx_offload_del
  can_rx_offload_enable
  can_rx_offload_get_echo_skb
  can_rx_offload_irq_finish
  can_rx_offload_irq_offload_fifo
  can_rx_offload_irq_offload_timestamp
  can_rx_offload_queue_tail
  can_rx_offload_queue_timestamp
  can_rx_offload_threaded_irq_finish
  can_rx_register
  can_rx_unregister
  can_send
  can_skb_get_frame_len
  can_sock_destruct
  cancel_delayed_work
  cancel_delayed_work_sync
  cancel_work_sync
  capable
  cdc_parse_cdc_header
  cdev_add
  cdev_alloc
  cdev_del
  cdev_device_add
  cdev_device_del
  cdev_init
  cec_allocate_adapter
  cec_delete_adapter
  cec_received_msg_ts
  cec_register_adapter
  cec_s_log_addrs
  cec_s_phys_addr
  cec_transmit_attempt_done_ts
  cec_unregister_adapter
  cgroup_path_ns
  cgroup_taskset_first
  cgroup_taskset_next
  check_move_unevictable_pages
  check_preempt_curr
  check_zeroed_user
  class_create_file_ns
  class_destroy
  class_dev_iter_exit
  class_dev_iter_init
  class_dev_iter_next
  class_find_device
  class_for_each_device
  class_interface_unregister
  class_remove_file_ns
  class_unregister
  cleanup_srcu_struct
  clear_page
  clk_bulk_disable
  clk_bulk_enable
  clk_bulk_prepare
  clk_bulk_put_all
  clk_bulk_unprepare
  clk_disable
  clk_enable
  clk_fixed_factor_ops
  clk_fixed_rate_ops
  clk_get
  clk_get_parent
  clk_get_rate
  clk_hw_get_clk
  clk_hw_get_flags
  clk_hw_get_name
  clk_hw_get_num_parents
  clk_hw_get_parent
  clk_hw_get_parent_by_index
  clk_hw_get_rate
  clk_hw_get_rate_range
  clk_hw_is_enabled
  clk_hw_is_prepared
  clk_hw_register
  clk_hw_round_rate
  clk_hw_set_rate_range
  clk_hw_unregister
  clk_is_match
  clk_notifier_register
  clk_notifier_unregister
  clk_prepare
  clk_put
  clk_register
  clk_register_clkdev
  clk_register_fixed_factor
  clk_register_fixed_rate
  clk_register_gate
  clk_restore_context
  clk_round_rate
  clk_set_parent
  clk_set_rate
  clk_sync_state
  clk_unprepare
  clockevents_config_and_register
  clocks_calc_mult_shift
  close_candev
  close_fd
  cma_alloc
  cma_for_each_area
  cma_get_name
  cma_release
  compat_ptr_ioctl
  complete
  complete_all
  completion_done
  component_add
  component_bind_all
  component_compare_of
  component_del
  component_master_add_with_match
  component_master_del
  component_match_add_release
  component_unbind_all
  cond_synchronize_rcu
  cond_synchronize_rcu_expedited
  config_ep_by_speed
  config_group_init
  config_group_init_type_name
  config_item_get
  config_item_put
  config_item_set_name
  configfs_register_group
  configfs_register_subsystem
  configfs_unregister_group
  configfs_unregister_subsystem
  console_printk
  console_set_on_cmdline
  console_stop
  console_suspend_enabled
  consume_skb
  contig_page_data
  copy_from_kernel_nofault
  cpu_all_bits
  cpu_bit_bitmap
  cpu_hwcaps
  cpu_irqtime
  cpu_is_hotpluggable
  cpu_latency_qos_add_request
  cpu_latency_qos_remove_request
  cpu_latency_qos_request_active
  cpu_latency_qos_update_request
  cpu_number
  cpu_pm_register_notifier
  cpu_pm_unregister_notifier
  cpu_scale
  cpu_subsys
  cpu_topology
  cpufreq_cpu_get
  cpufreq_cpu_get_raw
  cpufreq_cpu_put
  cpufreq_dbs_governor_exit
  cpufreq_dbs_governor_init
  cpufreq_dbs_governor_limits
  cpufreq_dbs_governor_start
  cpufreq_dbs_governor_stop
  cpufreq_disable_fast_switch
  cpufreq_driver_fast_switch
  cpufreq_driver_resolve_freq
  cpufreq_driver_target
  cpufreq_enable_boost_support
  cpufreq_enable_fast_switch
  cpufreq_freq_attr_scaling_available_freqs
  cpufreq_freq_attr_scaling_boost_freqs
  cpufreq_freq_transition_begin
  cpufreq_freq_transition_end
  cpufreq_frequency_table_get_index
  cpufreq_frequency_table_verify
  cpufreq_generic_attr
  cpufreq_generic_frequency_table_verify
  cpufreq_get
  cpufreq_get_driver_data
  cpufreq_get_policy
  cpufreq_policy_transition_delay_us
  cpufreq_quick_get
  cpufreq_quick_get_max
  cpufreq_register_driver
  cpufreq_register_governor
  cpufreq_register_notifier
  cpufreq_table_index_unsorted
  cpufreq_unregister_driver
  cpufreq_unregister_governor
  cpuhp_tasks_frozen
  cpuidle_governor_latency_req
  cpuidle_register_governor
  cpumask_any_and_distribute
  cpumask_next_wrap
  cpupri_find_fitness
  cpus_read_lock
  cpus_read_unlock
  crc16
  crc32_be
  crc32_le
  crc8
  crc8_populate_msb
  crc_ccitt
  create_function_device
  crypto_aead_decrypt
  crypto_aead_encrypt
  crypto_aead_setauthsize
  crypto_aead_setkey
  crypto_ahash_digest
  crypto_ahash_setkey
  crypto_alloc_aead
  crypto_alloc_ahash
  crypto_alloc_base
  crypto_alloc_kpp
  crypto_alloc_shash
  crypto_alloc_skcipher
  crypto_alloc_sync_skcipher
  crypto_comp_compress
  crypto_comp_decompress
  crypto_default_rng
  crypto_dequeue_request
  crypto_destroy_tfm
  crypto_ecdh_encode_key
  crypto_ecdh_key_len
  crypto_enqueue_request
  crypto_get_default_rng
  crypto_has_ahash
  crypto_has_alg
  crypto_init_queue
  crypto_put_default_rng
  crypto_register_aead
  crypto_register_ahash
  crypto_register_rng
  crypto_register_rngs
  crypto_register_shash
  crypto_register_skcipher
  crypto_req_done
  crypto_shash_digest
  crypto_shash_final
  crypto_shash_finup
  crypto_shash_setkey
  crypto_shash_tfm_digest
  crypto_shash_update
  crypto_skcipher_decrypt
  crypto_skcipher_encrypt
  crypto_skcipher_setkey
  crypto_unregister_aead
  crypto_unregister_ahash
  crypto_unregister_rng
  crypto_unregister_rngs
  crypto_unregister_shash
  crypto_unregister_skcipher
  css_next_child
  csum_ipv6_magic
  csum_partial
  csum_tcpudp_nofold
  d_path
  dapm_regulator_event
  datagram_poll
  dbs_update
  deactivate_locked_super
  deactivate_task
  debug_locks_off
  debug_locks_silent
  debugfs_attr_read
  debugfs_attr_write
  debugfs_create_atomic_t
  debugfs_create_blob
  debugfs_create_bool
  debugfs_create_devm_seqfile
  debugfs_create_dir
  debugfs_create_file
  debugfs_create_file_unsafe
  debugfs_create_size_t
  debugfs_create_symlink
  debugfs_create_u16
  debugfs_create_u32
  debugfs_create_u64
  debugfs_create_u8
  debugfs_create_ulong
  debugfs_create_x32
  debugfs_create_x64
  debugfs_create_x8
  debugfs_file_get
  debugfs_file_put
  debugfs_lookup
  debugfs_lookup_and_remove
  debugfs_remove
  debugfs_rename
  dec_node_page_state
  dec_zone_page_state
  default_llseek
  default_wake_function
  deferred_free
  del_gendisk
  del_timer
  del_timer_sync
  delayed_work_timer_fn
  desc_to_gpio
  destroy_workqueue
  dev_add_pack
  dev_addr_mod
  dev_alloc_name
  dev_change_flags
  dev_close
  dev_close_many
  dev_coredumpm
  dev_coredumpv
  dev_driver_string
  dev_err_probe
  dev_fetch_sw_netstats
  dev_fwnode
  dev_get_by_index
  dev_get_by_index_rcu
  dev_get_by_name
  dev_get_by_name_rcu
  dev_get_flags
  dev_get_regmap
  dev_get_stats
  dev_getbyhwaddr_rcu
  dev_getfirstbyhwtype
  dev_load
  dev_mc_sync
  dev_mc_sync_multiple
  dev_mc_unsync
  dev_nit_active
  dev_open
  dev_pm_clear_wake_irq
  dev_pm_domain_attach
  dev_pm_domain_attach_by_name
  dev_pm_domain_detach
  dev_pm_genpd_add_notifier
  dev_pm_genpd_remove_notifier
  dev_pm_genpd_set_next_wakeup
  dev_pm_genpd_set_performance_state
  dev_pm_opp_add
  dev_pm_opp_adjust_voltage
  dev_pm_opp_clear_config
  dev_pm_opp_disable
  dev_pm_opp_enable
  dev_pm_opp_find_freq_ceil
  dev_pm_opp_find_freq_exact
  dev_pm_opp_find_freq_floor
  dev_pm_opp_find_level_exact
  dev_pm_opp_get_freq
  dev_pm_opp_get_level
  dev_pm_opp_get_of_node
  dev_pm_opp_get_opp_count
  dev_pm_opp_get_voltage
  dev_pm_opp_of_add_table
  dev_pm_opp_of_cpumask_remove_table
  dev_pm_opp_of_find_icc_paths
  dev_pm_opp_of_get_opp_desc_node
  dev_pm_opp_of_register_em
  dev_pm_opp_of_remove_table
  dev_pm_opp_put
  dev_pm_opp_remove
  dev_pm_opp_remove_all_dynamic
  dev_pm_opp_set_config
  dev_pm_opp_set_opp
  dev_pm_opp_set_rate
  dev_pm_opp_set_sharing_cpus
  dev_pm_qos_add_notifier
  dev_pm_qos_add_request
  dev_pm_qos_read_value
  dev_pm_qos_remove_notifier
  dev_pm_qos_remove_request
  dev_pm_qos_update_request
  dev_pm_set_wake_irq
  dev_printk_emit
  dev_remove_pack
  dev_set_allmulti
  dev_set_mac_address
  dev_set_mtu
  dev_set_name
  dev_set_promiscuity
  dev_set_threaded
  dev_uc_add
  dev_uc_del
  dev_uc_sync
  dev_uc_sync_multiple
  dev_uc_unsync
  devfreq_add_device
  devfreq_add_governor
  devfreq_cooling_unregister
  devfreq_get_devfreq_by_node
  devfreq_monitor_resume
  devfreq_monitor_start
  devfreq_monitor_stop
  devfreq_monitor_suspend
  devfreq_recommended_opp
  devfreq_register_opp_notifier
  devfreq_remove_device
  devfreq_remove_governor
  devfreq_resume_device
  devfreq_suspend_device
  devfreq_unregister_opp_notifier
  devfreq_update_interval
  device_add
  device_add_disk
  device_add_groups
  device_bind_driver
  device_create
  device_create_bin_file
  device_create_file
  device_create_managed_software_node
  device_create_with_groups
  device_del
  device_destroy
  device_find_child
  device_for_each_child
  device_for_each_child_reverse
  device_get_child_node_count
  device_get_dma_attr
  device_get_mac_address
  device_get_match_data
  device_get_named_child_node
  device_get_next_child_node
  device_get_phy_mode
  device_initialize
  device_link_add
  device_link_del
  device_link_remove
  device_match_fwnode
  device_match_name
  device_match_of_node
  device_move
  device_property_match_string
  device_property_present
  device_property_read_string
  device_property_read_u16_array
  device_property_read_u32_array
  device_property_read_u8_array
  device_register
  device_release_driver
  device_remove_bin_file
  device_remove_file
  device_remove_groups
  device_rename
  device_set_wakeup_capable
  device_set_wakeup_enable
  device_show_int
  device_store_int
  device_unregister
  device_wakeup_disable
  device_wakeup_enable
  devm_add_action
  devm_alloc_etherdev_mqs
  devm_backlight_device_register
  devm_backlight_device_unregister
  devm_bitmap_zalloc
  devm_blk_crypto_profile_init
  devm_clk_bulk_get
  devm_clk_bulk_get_all
  devm_clk_bulk_get_optional
  devm_clk_get
  devm_clk_get_optional
  devm_clk_hw_register
  devm_clk_hw_register_fixed_factor_parent_hw
  devm_clk_put
  devm_clk_register
  devm_devfreq_add_device
  devm_device_add_group
  devm_device_add_groups
  devm_device_remove_group
  devm_drm_of_get_bridge
  devm_drm_panel_bridge_add_typed
  devm_extcon_dev_allocate
  devm_extcon_dev_register
  devm_extcon_dev_unregister
  devm_free_irq
  devm_fwnode_iio_channel_get_by_name
  devm_fwnode_pwm_get
  devm_gen_pool_create
  devm_get_clk_from_child
  devm_gh_rm_register_platform_ops
  devm_gpio_request
  devm_gpio_request_one
  devm_gpiochip_add_data_with_key
  devm_gpiod_get
  devm_gpiod_get_array
  devm_gpiod_get_index
  devm_gpiod_get_optional
  devm_gpiod_put
  devm_gpiod_put_array
  devm_hwspin_lock_register
  devm_i2c_new_dummy_device
  devm_iio_channel_get
  devm_iio_device_alloc
  devm_input_allocate_device
  devm_ioremap
  devm_ioremap_resource
  devm_ioremap_wc
  devm_iounmap
  devm_kasprintf
  devm_kfree
  devm_kmalloc
  devm_kmemdup
  devm_krealloc
  devm_kstrdup
  devm_kstrdup_const
  devm_led_classdev_flash_register_ext
  devm_led_classdev_multicolor_register_ext
  devm_led_classdev_register_ext
  devm_mbox_controller_register
  devm_memremap
  devm_mfd_add_devices
  devm_mipi_dsi_attach
  devm_mipi_dsi_device_register_full
  devm_nvmem_cell_get
  devm_nvmem_device_get
  devm_nvmem_register
  devm_of_clk_add_hw_provider
  devm_of_icc_get
  devm_of_iomap
  devm_of_platform_populate
  devm_pci_alloc_host_bridge
  devm_phy_create
  devm_phy_get
  devm_phy_package_join
  devm_phy_put
  devm_pinctrl_get
  devm_pinctrl_put
  devm_pinctrl_register
  devm_pinctrl_register_and_init
  devm_platform_get_and_ioremap_resource
  devm_platform_ioremap_resource
  devm_platform_ioremap_resource_byname
  devm_pm_clk_create
  devm_pm_opp_of_add_table
  devm_pm_opp_set_config
  devm_pm_runtime_enable
  devm_power_supply_register
  devm_pwm_get
  devm_qcom_smem_state_get
  devm_regmap_add_irq_chip
  devm_regmap_del_irq_chip
  devm_regmap_field_alloc
  devm_regulator_bulk_get
  devm_regulator_bulk_get_const
  devm_regulator_get
  devm_regulator_get_exclusive
  devm_regulator_get_optional
  devm_regulator_put
  devm_regulator_register
  devm_regulator_register_notifier
  devm_request_any_context_irq
  devm_request_threaded_irq
  devm_reset_control_array_get
  devm_reset_controller_register
  devm_rtc_allocate_device
  devm_rtc_device_register
  devm_snd_soc_register_card
  devm_snd_soc_register_component
  devm_spi_register_controller
  devm_thermal_of_cooling_device_register
  devm_thermal_of_zone_register
  devm_usb_get_phy_by_node
  devm_usb_get_phy_by_phandle
  devm_watchdog_register_device
  devres_add
  devres_destroy
  devres_free
  devres_open_group
  devres_release
  devres_release_group
  disable_irq
  disable_irq_nosync
  disable_percpu_irq
  divider_get_val
  divider_recalc_rate
  divider_ro_round_rate_parent
  divider_round_rate_parent
  dma_alloc_attrs
  dma_alloc_pages
  dma_async_device_register
  dma_async_device_unregister
  dma_async_tx_descriptor_init
  dma_buf_attach
  dma_buf_begin_cpu_access
  dma_buf_begin_cpu_access_partial
  dma_buf_detach
  dma_buf_end_cpu_access
  dma_buf_end_cpu_access_partial
  dma_buf_export
  dma_buf_fd
  dma_buf_get
  dma_buf_get_each
  dma_buf_get_flags
  dma_buf_map_attachment
  dma_buf_mmap
  dma_buf_put
  dma_buf_unmap_attachment
  dma_buf_vmap
  dma_buf_vunmap
  dma_contiguous_default_area
  dma_fence_add_callback
  dma_fence_array_create
  dma_fence_array_ops
  dma_fence_chain_init
  dma_fence_context_alloc
  dma_fence_default_wait
  dma_fence_enable_sw_signaling
  dma_fence_free
  dma_fence_get_status
  dma_fence_init
  dma_fence_match_context
  dma_fence_release
  dma_fence_remove_callback
  dma_fence_signal
  dma_fence_signal_locked
  dma_fence_signal_timestamp_locked
  dma_fence_wait_timeout
  dma_free_attrs
  dma_free_pages
  dma_get_sgtable_attrs
  dma_get_slave_caps
  dma_get_slave_channel
  dma_heap_add
  dma_heap_buffer_alloc
  dma_heap_buffer_free
  dma_heap_find
  dma_heap_get_dev
  dma_heap_get_drvdata
  dma_heap_get_name
  dma_heap_put
  dma_map_page_attrs
  dma_map_resource
  dma_map_sg_attrs
  dma_map_sgtable
  dma_mmap_attrs
  dma_mmap_pages
  dma_pool_alloc
  dma_pool_create
  dma_pool_destroy
  dma_pool_free
  dma_release_channel
  dma_request_chan
  dma_resv_add_fence
  dma_resv_describe
  dma_resv_fini
  dma_resv_get_singleton
  dma_resv_init
  dma_resv_iter_first
  dma_resv_iter_next
  dma_resv_reserve_fences
  dma_resv_test_signaled
  dma_resv_wait_timeout
  dma_set_coherent_mask
  dma_set_mask
  dma_sync_sg_for_cpu
  dma_sync_sg_for_device
  dma_sync_single_for_cpu
  dma_sync_single_for_device
  dma_unmap_page_attrs
  dma_unmap_resource
  dma_unmap_sg_attrs
  dmabuf_page_pool_alloc
  dmabuf_page_pool_create
  dmabuf_page_pool_destroy
  dmabuf_page_pool_free
  dmabuf_page_pool_get_size
  dmaengine_unmap_put
  dmam_alloc_attrs
  dmam_free_coherent
  do_SAK
  do_trace_netlink_extack
  do_trace_rcu_torture_read
  do_wait_intr
  do_wait_intr_irq
  double_rq_lock
  down
  down_interruptible
  down_read
  down_read_trylock
  down_timeout
  down_trylock
  down_write
  downgrade_write
  dput
  dql_completed
  dql_reset
  drain_workqueue
  driver_attach
  driver_find_device
  driver_register
  driver_set_override
  driver_unregister
  drm_add_edid_modes
  drm_add_modes_noedid
  drm_aperture_remove_conflicting_pci_framebuffers
  drm_atomic_add_affected_connectors
  drm_atomic_add_affected_planes
  drm_atomic_commit
  drm_atomic_get_connector_state
  drm_atomic_get_crtc_state
  drm_atomic_get_new_bridge_state
  drm_atomic_get_new_connector_for_encoder
  drm_atomic_get_new_private_obj_state
  drm_atomic_get_old_connector_for_encoder
  drm_atomic_get_old_private_obj_state
  drm_atomic_get_plane_state
  drm_atomic_get_private_obj_state
  drm_atomic_helper_bridge_destroy_state
  drm_atomic_helper_bridge_duplicate_state
  drm_atomic_helper_bridge_reset
  drm_atomic_helper_check
  drm_atomic_helper_check_modeset
  drm_atomic_helper_check_plane_state
  drm_atomic_helper_check_planes
  drm_atomic_helper_check_wb_encoder_state
  drm_atomic_helper_cleanup_planes
  drm_atomic_helper_commit
  drm_atomic_helper_commit_cleanup_done
  drm_atomic_helper_commit_duplicated_state
  drm_atomic_helper_commit_hw_done
  drm_atomic_helper_commit_modeset_disables
  drm_atomic_helper_commit_modeset_enables
  drm_atomic_helper_commit_planes
  drm_atomic_helper_commit_tail
  drm_atomic_helper_connector_destroy_state
  drm_atomic_helper_connector_duplicate_state
  drm_atomic_helper_connector_reset
  drm_atomic_helper_crtc_destroy_state
  drm_atomic_helper_crtc_duplicate_state
  drm_atomic_helper_crtc_reset
  drm_atomic_helper_damage_iter_init
  drm_atomic_helper_damage_iter_next
  drm_atomic_helper_damage_merged
  drm_atomic_helper_dirtyfb
  drm_atomic_helper_disable_plane
  drm_atomic_helper_duplicate_state
  drm_atomic_helper_fake_vblank
  drm_atomic_helper_page_flip
  drm_atomic_helper_plane_destroy_state
  drm_atomic_helper_plane_duplicate_state
  drm_atomic_helper_plane_reset
  drm_atomic_helper_prepare_planes
  drm_atomic_helper_set_config
  drm_atomic_helper_setup_commit
  drm_atomic_helper_shutdown
  drm_atomic_helper_swap_state
  drm_atomic_helper_update_legacy_modeset_state
  drm_atomic_helper_update_plane
  drm_atomic_helper_wait_for_dependencies
  drm_atomic_helper_wait_for_fences
  drm_atomic_helper_wait_for_flip_done
  drm_atomic_helper_wait_for_vblanks
  drm_atomic_nonblocking_commit
  drm_atomic_normalize_zpos
  drm_atomic_print_new_state
  drm_atomic_private_obj_fini
  drm_atomic_private_obj_init
  drm_atomic_set_crtc_for_connector
  drm_atomic_set_crtc_for_plane
  drm_atomic_set_fb_for_plane
  drm_atomic_set_mode_for_crtc
  drm_atomic_set_mode_prop_for_crtc
  drm_atomic_state_alloc
  drm_atomic_state_clear
  drm_atomic_state_default_clear
  drm_atomic_state_default_release
  drm_atomic_state_init
  drm_bridge_add
  drm_bridge_attach
  drm_bridge_chain_disable
  drm_bridge_chain_enable
  drm_bridge_chain_mode_set
  drm_bridge_chain_post_disable
  drm_bridge_chain_pre_enable
  drm_bridge_connector_enable_hpd
  drm_bridge_connector_init
  drm_bridge_detect
  drm_bridge_hpd_notify
  drm_bridge_remove
  drm_calc_timestamping_constants
  drm_client_init
  drm_client_modeset_commit_locked
  drm_client_register
  drm_compat_ioctl
  drm_connector_attach_edid_property
  drm_connector_attach_encoder
  drm_connector_cleanup
  drm_connector_init
  drm_connector_list_iter_begin
  drm_connector_list_iter_end
  drm_connector_list_iter_next
  drm_connector_list_update
  drm_connector_register
  drm_connector_unregister
  drm_connector_update_edid_property
  drm_crtc_accurate_vblank_count
  drm_crtc_add_crc_entry
  drm_crtc_arm_vblank_event
  drm_crtc_cleanup
  drm_crtc_commit_wait
  drm_crtc_enable_color_mgmt
  drm_crtc_handle_vblank
  drm_crtc_init_with_planes
  drm_crtc_send_vblank_event
  drm_crtc_set_max_vblank_count
  drm_crtc_vblank_count_and_time
  drm_crtc_vblank_get
  drm_crtc_vblank_helper_get_vblank_timestamp
  drm_crtc_vblank_off
  drm_crtc_vblank_on
  drm_crtc_vblank_put
  drm_crtc_vblank_reset
  drm_crtc_wait_one_vblank
  drm_cvt_mode
  drm_debugfs_create_files
  drm_detect_hdmi_monitor
  drm_detect_monitor_audio
  drm_dev_alloc
  drm_dev_enter
  drm_dev_exit
  drm_dev_get
  drm_dev_printk
  drm_dev_put
  drm_dev_register
  drm_dev_unplug
  drm_dev_unregister
  drm_display_mode_from_cea_vic
  drm_display_mode_to_videomode
  drm_do_get_edid
  drm_edid_block_valid
  drm_edid_duplicate
  drm_edid_get_monitor_name
  drm_edid_is_valid
  drm_encoder_cleanup
  drm_encoder_init
  drm_event_cancel_free
  drm_event_reserve_init
  drm_event_reserve_init_locked
  drm_firmware_drivers_only
  drm_flip_work_cleanup
  drm_flip_work_commit
  drm_flip_work_init
  drm_flip_work_queue
  drm_format_info
  drm_format_info_block_height
  drm_format_info_block_width
  drm_framebuffer_init
  drm_framebuffer_lookup
  drm_framebuffer_remove
  drm_framebuffer_unregister_private
  drm_gem_cleanup_shadow_fb
  drm_gem_create_mmap_offset
  drm_gem_dmabuf_mmap
  drm_gem_dmabuf_release
  drm_gem_dmabuf_vmap
  drm_gem_dmabuf_vunmap
  drm_gem_fb_create
  drm_gem_fb_create_handle
  drm_gem_fb_destroy
  drm_gem_fb_get_obj
  drm_gem_fb_vmap
  drm_gem_fb_vunmap
  drm_gem_free_mmap_offset
  drm_gem_get_pages
  drm_gem_handle_create
  drm_gem_lock_reservations
  drm_gem_lru_init
  drm_gem_lru_move_tail
  drm_gem_lru_scan
  drm_gem_map_attach
  drm_gem_map_detach
  drm_gem_map_dma_buf
  drm_gem_mmap
  drm_gem_mmap_obj
  drm_gem_object_free
  drm_gem_object_init
  drm_gem_object_lookup
  drm_gem_object_release
  drm_gem_plane_helper_prepare_fb
  drm_gem_prepare_shadow_fb
  drm_gem_prime_fd_to_handle
  drm_gem_prime_handle_to_fd
  drm_gem_prime_import
  drm_gem_prime_import_dev
  drm_gem_prime_mmap
  drm_gem_private_object_init
  drm_gem_put_pages
  drm_gem_shmem_create
  drm_gem_shmem_dumb_create
  drm_gem_shmem_free
  drm_gem_shmem_get_pages_sgt
  drm_gem_shmem_get_sg_table
  drm_gem_shmem_mmap
  drm_gem_shmem_pin
  drm_gem_shmem_prime_import_sg_table
  drm_gem_shmem_print_info
  drm_gem_shmem_unpin
  drm_gem_shmem_vm_ops
  drm_gem_shmem_vmap
  drm_gem_shmem_vunmap
  drm_gem_unlock_reservations
  drm_gem_unmap_dma_buf
  drm_gem_vm_close
  drm_gem_vm_open
  drm_get_connector_status_name
  drm_get_connector_type_name
  drm_get_edid
  drm_get_format_info
  drm_handle_vblank
  drm_hdmi_avi_infoframe_from_display_mode
  drm_helper_hpd_irq_event
  drm_helper_mode_fill_fb_struct
  drm_helper_move_panel_connectors_to_head
  drm_helper_probe_single_connector_modes
  drm_ioctl
  drm_is_current_master
  drm_kms_helper_hotplug_event
  drm_kms_helper_poll_disable
  drm_kms_helper_poll_enable
  drm_kms_helper_poll_fini
  drm_kms_helper_poll_init
  drm_master_get
  drm_master_put
  drm_mm_init
  drm_mm_insert_node_in_range
  drm_mm_print
  drm_mm_remove_node
  drm_mm_takedown
  drm_mode_config_cleanup
  drm_mode_config_helper_resume
  drm_mode_config_helper_suspend
  drm_mode_config_reset
  drm_mode_convert_to_umode
  drm_mode_convert_umode
  drm_mode_copy
  drm_mode_create
  drm_mode_create_dp_colorspace_property
  drm_mode_create_tile_group
  drm_mode_debug_printmodeline
  drm_mode_destroy
  drm_mode_duplicate
  drm_mode_equal
  drm_mode_equal_no_clocks
  drm_mode_is_420_only
  drm_mode_match
  drm_mode_object_find
  drm_mode_object_get
  drm_mode_object_put
  drm_mode_probed_add
  drm_mode_prune_invalid
  drm_mode_set_crtcinfo
  drm_mode_set_name
  drm_mode_sort
  drm_mode_vrefresh
  drm_modeset_acquire_fini
  drm_modeset_acquire_init
  drm_modeset_backoff
  drm_modeset_drop_locks
  drm_modeset_lock
  drm_modeset_lock_all
  drm_modeset_lock_all_ctx
  drm_modeset_lock_init
  drm_modeset_lock_single_interruptible
  drm_modeset_unlock
  drm_modeset_unlock_all
  drm_object_attach_property
  drm_object_property_set_value
  drm_of_component_match_add
  drm_of_find_panel_or_bridge
  drm_of_get_data_lanes_count
  drm_open
  drm_panel_add
  drm_panel_bridge_add_typed
  drm_panel_bridge_connector
  drm_panel_bridge_remove
  drm_panel_disable
  drm_panel_enable
  drm_panel_get_modes
  drm_panel_init
  drm_panel_prepare
  drm_panel_remove
  drm_panel_unprepare
  drm_plane_cleanup
  drm_plane_create_alpha_property
  drm_plane_create_blend_mode_property
  drm_plane_create_rotation_property
  drm_plane_create_zpos_immutable_property
  drm_plane_create_zpos_property
  drm_plane_enable_fb_damage_clips
  drm_poll
  drm_prime_gem_destroy
  drm_prime_get_contiguous_size
  drm_prime_pages_to_sg
  drm_prime_sg_to_page_array
  drm_printf
  drm_probe_ddc
  drm_property_blob_get
  drm_property_blob_put
  drm_property_create
  drm_property_create_bitmask
  drm_property_create_blob
  drm_property_create_bool
  drm_property_create_enum
  drm_property_create_range
  drm_property_create_signed_range
  drm_property_lookup_blob
  drm_property_replace_blob
  drm_puts
  drm_read
  drm_rect_calc_hscale
  drm_rect_calc_vscale
  drm_rect_clip_scaled
  drm_rect_intersect
  drm_release
  drm_rotation_simplify
  drm_self_refresh_helper_alter_state
  drm_send_event
  drm_send_event_locked
  drm_set_preferred_mode
  drm_simple_display_pipe_attach_bridge
  drm_simple_display_pipe_init
  drm_simple_encoder_init
  drm_state_dump
  drm_syncobj_add_point
  drm_syncobj_find
  drm_syncobj_find_fence
  drm_syncobj_free
  drm_syncobj_replace_fence
  drm_sysfs_connector_status_event
  drm_universal_plane_init
  drm_vblank_init
  drm_wait_one_vblank
  drm_writeback_connector_init
  drm_writeback_connector_init_with_encoder
  drm_writeback_queue_job
  drm_writeback_signal_completion
  drmm_kfree
  drmm_kmalloc
  drmm_mode_config_init
  dst_cache_destroy
  dst_cache_get
  dst_cache_init
  dst_cache_set_ip4
  dst_cache_set_ip6
  dst_release
  dump_backtrace
  dump_stack
  dw_handle_msi_irq
  dw_pcie_host_init
  dw_pcie_read
  dw_pcie_setup_rc
  dw_pcie_write
  edac_device_add_device
  edac_device_alloc_ctl_info
  edac_device_alloc_index
  edac_device_del_device
  edac_device_free_ctl_info
  edac_device_handle_ce_count
  edac_device_handle_ue_count
  elv_register
  elv_unregister
  elevator_alloc
  em_cpu_get
  emergency_restart
  enable_irq
  enable_percpu_irq
  end_buffer_read_sync
  eth_commit_mac_addr_change
  eth_header_parse
  eth_mac_addr
  eth_prepare_mac_addr_change
  eth_type_trans
  eth_validate_addr
  ether_setup
  ethnl_cable_test_fault_length
  ethnl_cable_test_result
  ethtool_convert_legacy_u32_to_link_mode
  ethtool_convert_link_mode_to_legacy_u32
  ethtool_op_get_link
  ethtool_op_get_ts_info
  ethtool_sprintf
  ethtool_virtdev_set_link_ksettings
  event_triggers_call
  eventfd_ctx_fdget
  eventfd_ctx_fileget
  eventfd_ctx_put
  eventfd_ctx_remove_wait_queue
  eventfd_signal
  extcon_get_edev_by_phandle
  extcon_get_edev_name
  extcon_get_extcon_dev
  extcon_get_property
  extcon_get_state
  extcon_register_notifier
  extcon_set_property
  extcon_set_property_capability
  extcon_set_state
  extcon_set_state_sync
  extcon_unregister_notifier
  fasync_helper
  fd_install
  fget
  file_ra_state_init
  file_write_and_wait_range
  filp_close
  find_extend_vma
  find_get_pid
  find_pid_ns
  find_task_by_vpid
  find_vma
  find_vma_intersection
  find_vpid
  finish_wait
  firmware_request_nowarn
  fixed_size_llseek
  flow_block_cb_setup_simple
  flow_keys_basic_dissector
  flow_rule_match_basic
  flow_rule_match_ipv4_addrs
  flow_rule_match_ports
  flow_rule_match_vlan
  flush_dcache_page
  flush_delayed_fput
  flush_delayed_work
  flush_work
  folio_wait_bit
  for_each_kernel_tracepoint
  fortify_panic
  fput
  fqdir_exit
  fqdir_init
  frame_vector_create
  frame_vector_destroy
  frame_vector_to_pages
  free_candev
  free_io_pgtable_ops
  free_irq
  free_netdev
  free_pages
  free_pages_exact
  free_percpu
  free_percpu_irq
  freezer_active
  freezing_slow_path
  freq_qos_add_notifier
  freq_qos_add_request
  freq_qos_remove_notifier
  freq_qos_remove_request
  freq_qos_update_request
  fs_bio_set
  fsync_bdev
  ftrace_dump
  full_name_hash
  fwnode_find_reference
  fwnode_get_name
  fwnode_get_named_child_node
  fwnode_get_next_child_node
  fwnode_gpiod_get_index
  fwnode_handle_get
  fwnode_handle_put
  fwnode_property_present
  fwnode_property_read_string
  fwnode_property_read_u32_array
  fwnode_property_read_u8_array
  fwnode_usb_role_switch_get
  gcd
  gen_pool_add_owner
  gen_pool_alloc_algo_owner
  gen_pool_avail
  gen_pool_best_fit
  gen_pool_create
  gen_pool_destroy
  gen_pool_dma_alloc_align
  gen_pool_dma_zalloc_align
  gen_pool_first_fit_align
  gen_pool_first_fit_order_align
  gen_pool_free_owner
  gen_pool_has_addr
  gen_pool_set_algo
  gen_pool_size
  gen_pool_virt_to_phys
  generic_device_group
  generic_file_llseek
  generic_file_read_iter
  generic_handle_domain_irq
  generic_handle_irq
  generic_mii_ioctl
  generic_perform_write
  generic_shutdown_super
  generic_write_checks
  geni_icc_disable
  geni_icc_enable
  geni_icc_get
  geni_icc_set_bw
  geni_se_clk_freq_match
  geni_se_config_packing
  geni_se_get_qup_hw_version
  geni_se_init
  geni_se_resources_off
  geni_se_resources_on
  geni_se_rx_dma_prep
  geni_se_rx_dma_unprep
  geni_se_select_mode
  geni_se_tx_dma_prep
  geni_se_tx_dma_unprep
  genl_notify
  genl_register_family
  genl_unregister_family
  genlmsg_multicast_allns
  genlmsg_put
  genphy_read_abilities
  genphy_read_lpa
  genphy_read_mmd_unsupported
  genphy_read_status
  genphy_restart_aneg
  genphy_resume
  genphy_soft_reset
  genphy_suspend
  genphy_update_link
  genphy_write_mmd_unsupported
  get_completed_synchronize_rcu
  get_cpu_device
  get_cpu_idle_time
  get_cpu_idle_time_us
  get_cpu_iowait_time_us
  get_device
  get_device_system_crosststamp
  get_each_object_track
  get_governor_parent_kobj
  get_net_ns_by_fd
  get_net_ns_by_pid
  get_option
  get_options
  get_page_owner_handle
  get_pfnblock_flags_mask
  get_pid_task
  get_random_bytes
  get_random_u16
  get_random_u32
  get_random_u64
  get_random_u8
  get_sg_io_hdr
  get_slabinfo
  get_state_synchronize_rcu
  get_state_synchronize_srcu
  get_task_mm
  get_task_pid
  get_thermal_instance
  get_unmapped_area
  get_unused_fd_flags
  get_user_ifreq
  get_user_pages
  get_user_pages_fast
  get_vaddr_frames
  get_zeroed_page
  getboottime64
  gh_rm_call
  gh_rm_notifier_register
  gh_rm_notifier_unregister
  gic_nonsecure_priorities
  glob_match
  gov_attr_set_get
  gov_attr_set_init
  gov_attr_set_put
  gov_update_cpu_data
  governor_sysfs_ops
  gpio_free
  gpio_free_array
  gpio_request
  gpio_request_array
  gpio_request_one
  gpio_to_desc
  gpiochip_add_data_with_key
  gpiochip_add_pin_range
  gpiochip_disable_irq
  gpiochip_enable_irq
  gpiochip_find
  gpiochip_generic_config
  gpiochip_generic_free
  gpiochip_generic_request
  gpiochip_get_data
  gpiochip_irq_relres
  gpiochip_irq_reqres
  gpiochip_line_is_valid
  gpiochip_lock_as_irq
  gpiochip_populate_parent_fwspec_fourcell
  gpiochip_remove
  gpiochip_unlock_as_irq
  gpiod_cansleep
  gpiod_count
  gpiod_direction_input
  gpiod_direction_output
  gpiod_direction_output_raw
  gpiod_get
  gpiod_get_optional
  gpiod_get_raw_value
  gpiod_get_raw_value_cansleep
  gpiod_get_value
  gpiod_get_value_cansleep
  gpiod_is_active_low
  gpiod_put
  gpiod_set_consumer_name
  gpiod_set_debounce
  gpiod_set_raw_value
  gpiod_set_raw_value_cansleep
  gpiod_set_value
  gpiod_set_value_cansleep
  gpiod_to_chip
  gpiod_to_irq
  gre_add_protocol
  gre_del_protocol
  gro_cells_destroy
  gro_cells_init
  gro_cells_receive
  gs_alloc_req
  gs_free_req
  gserial_alloc_line
  gserial_connect
  gserial_disconnect
  gserial_free_line
  gserial_resume
  gserial_suspend
  guid_gen
  h4_recv_buf
  handle_bad_irq
  handle_edge_irq
  handle_fasteoi_ack_irq
  handle_fasteoi_irq
  handle_level_irq
  handle_nested_irq
  handle_simple_irq
  handle_sysrq
  hashlen_string
  have_governor_per_policy
  hci_alloc_dev_priv
  hci_cmd_sync
  hci_cmd_sync_cancel
  hci_cmd_sync_queue
  hci_conn_check_secure
  hci_conn_security
  hci_conn_switch_role
  hci_free_dev
  hci_get_route
  hci_mgmt_chan_register
  hci_mgmt_chan_unregister
  hci_recv_diag
  hci_recv_frame
  hci_register_cb
  hci_register_dev
  hci_release_dev
  hci_reset_dev
  hci_resume_dev
  hci_set_fw_info
  hci_set_hw_info
  hci_suspend_dev
  hci_uart_register_device
  hci_uart_tx_wakeup
  hci_uart_unregister_device
  hci_unregister_cb
  hci_unregister_dev
  hdmi_audio_infoframe_init
  hdmi_audio_infoframe_pack
  hdmi_infoframe_pack
  hex2bin
  hex_asc_upper
  hex_dump_to_buffer
  hex_to_bin
  hid_add_device
  hid_allocate_device
  hid_destroy_device
  hid_ignore
  hid_input_report
  hid_parse_report
  hidp_hid_driver
  housekeeping_cpumask
  housekeeping_overridden
  housekeeping_test_cpu
  hrtimer_active
  hrtimer_cancel
  hrtimer_forward
  hrtimer_init
  hrtimer_init_sleeper
  hrtimer_sleeper_start_expires
  hrtimer_start_range_ns
  hrtimer_try_to_cancel
  hvc_alloc
  hvc_instantiate
  hvc_kick
  hvc_poll
  hvc_remove
  hwrng_register
  hwrng_unregister
  hwspin_lock_free
  hwspin_lock_request_specific
  hypervisor_kobj
  i2c_adapter_type
  i2c_add_adapter
  i2c_add_numbered_adapter
  i2c_bit_add_numbered_bus
  i2c_bus_type
  i2c_client_type
  i2c_del_adapter
  i2c_del_driver
  i2c_for_each_dev
  i2c_generic_scl_recovery
  i2c_get_adapter
  i2c_get_device_id
  i2c_get_dma_safe_msg_buf
  i2c_match_id
  i2c_new_ancillary_device
  i2c_new_client_device
  i2c_new_dummy_device
  i2c_new_scanned_device
  i2c_parse_fw_timings
  i2c_put_adapter
  i2c_put_dma_safe_msg_buf
  i2c_recover_bus
  i2c_register_driver
  i2c_smbus_read_byte
  i2c_smbus_read_byte_data
  i2c_smbus_read_i2c_block_data
  i2c_smbus_read_word_data
  i2c_smbus_write_byte
  i2c_smbus_write_byte_data
  i2c_smbus_write_i2c_block_data
  i2c_smbus_write_word_data
  i2c_smbus_xfer
  i2c_transfer
  i2c_transfer_buffer_flags
  i2c_unregister_device
  i2c_verify_adapter
  i2c_verify_client
  i3c_device_do_priv_xfers
  i3c_driver_register_with_owner
  i3c_driver_unregister
  i3c_generic_ibi_alloc_pool
  i3c_generic_ibi_free_pool
  i3c_generic_ibi_get_free_slot
  i3c_generic_ibi_recycle_slot
  i3c_master_add_i3c_dev_locked
  i3c_master_disec_locked
  i3c_master_do_daa
  i3c_master_enec_locked
  i3c_master_entdaa_locked
  i3c_master_get_free_addr
  i3c_master_queue_ibi
  i3c_master_register
  i3c_master_set_info
  i3c_master_unregister
  icc_disable
  icc_enable
  icc_get
  icc_link_create
  icc_node_add
  icc_node_create
  icc_nodes_remove
  icc_provider_add
  icc_provider_del
  icc_provider_deregister
  icc_provider_init
  icc_provider_register
  icc_put
  icc_set_bw
  icc_set_tag
  icc_std_aggregate
  icc_sync_state
  ida_alloc_range
  ida_destroy
  ida_free
  idr_alloc
  idr_alloc_cyclic
  idr_alloc_u32
  idr_destroy
  idr_find
  idr_for_each
  idr_get_next
  idr_get_next_ul
  idr_preload
  idr_remove
  idr_replace
  ieee802154_alloc_hw
  ieee802154_configure_durations
  ieee802154_free_hw
  ieee802154_hdr_peek
  ieee802154_hdr_peek_addrs
  ieee802154_hdr_pull
  ieee802154_hdr_push
  ieee802154_max_payload
  ieee802154_register_hw
  ieee802154_rx_irqsafe
  ieee802154_stop_queue
  ieee802154_unregister_hw
  ieee802154_wake_queue
  ieee802154_xmit_complete
  ieee802154_xmit_error
  ieee802154_xmit_hw_error
  iio_buffer_enabled
  iio_buffer_init
  iio_buffer_put
  iio_channel_get
  iio_channel_release
  iio_device_attach_buffer
  iio_device_claim_direct_mode
  iio_device_release_direct_mode
  iio_device_unregister
  iio_get_channel_type
  iio_push_event
  iio_push_to_buffers
  iio_read_channel_processed
  iio_write_channel_raw
  import_iovec
  in4_pton
  in6_pton
  in6addr_any
  in_aton
  in_egroup_p
  inc_node_page_state
  inc_zone_page_state
  inet6_csk_xmit
  inet6_ioctl
  inet_csk_get_port
  inet_frag_destroy
  inet_frag_find
  inet_frag_kill
  inet_frag_queue_insert
  inet_frag_reasm_finish
  inet_frag_reasm_prepare
  inet_frags_fini
  inet_frags_init
  inet_ioctl
  init_dummy_netdev
  init_iova_domain
  init_net
  init_on_free
  init_pid_ns
  init_pseudo
  init_srcu_struct
  init_task
  init_timer_key
  init_user_ns
  init_uts_ns
  init_wait_entry
  init_wait_var_entry
  input_alloc_absinfo
  input_allocate_device
  input_close_device
  input_event
  input_ff_create
  input_ff_destroy
  input_free_device
  input_mt_assign_slots
  input_mt_destroy_slots
  input_mt_drop_unused
  input_mt_init_slots
  input_mt_report_finger_count
  input_mt_report_pointer_emulation
  input_mt_report_slot_state
  input_mt_sync_frame
  input_open_device
  input_register_device
  input_register_handle
  input_register_handler
  input_set_abs_params
  input_set_capability
  input_set_timestamp
  input_unregister_device
  input_unregister_handle
  input_unregister_handler
  insert_resource
  int_sqrt
  interval_tree_insert
  interval_tree_iter_first
  interval_tree_iter_next
  interval_tree_remove
  invalidate_mapping_pages
  io_schedule_timeout
  iomem_resource
  iommu_alloc_resv_region
  iommu_attach_device
  iommu_attach_group
  iommu_detach_device
  iommu_device_register
  iommu_device_sysfs_add
  iommu_device_sysfs_remove
  iommu_device_unlink
  iommu_device_unregister
  iommu_dma_get_resv_regions
  iommu_domain_alloc
  iommu_domain_free
  iommu_fwspec_add_ids
  iommu_fwspec_free
  iommu_get_domain_for_dev
  iommu_get_msi_cookie
  iommu_group_alloc
  iommu_group_for_each_dev
  iommu_group_get
  iommu_group_get_iommudata
  iommu_group_put
  iommu_group_ref_get
  iommu_group_set_iommudata
  iommu_group_set_name
  iommu_iova_to_phys
  iommu_map
  iommu_map_sg
  iommu_present
  iommu_put_resv_regions
  iommu_register_device_fault_handler
  iommu_report_device_fault
  iommu_set_fault_handler
  iommu_set_pgtable_quirks
  iommu_unmap
  iommu_unregister_device_fault_handler
  ioremap_prot
  iounmap
  iov_iter_init
  iov_iter_kvec
  iov_iter_revert
  ip6_dst_hoplimit
  ip6_local_out
  ip6_route_me_harder
  ip_compute_csum
  ip_local_out
  ip_mc_join_group
  ip_queue_xmit
  ip_route_me_harder
  ip_route_output_flow
  ip_send_check
  ipi_desc_get
  iput
  ipv6_dev_find
  ipv6_ext_hdr
  ipv6_find_hdr
  ipv6_skip_exthdr
  ipv6_stub
  irq_check_status_bit
  irq_chip_ack_parent
  irq_chip_disable_parent
  irq_chip_enable_parent
  irq_chip_eoi_parent
  irq_chip_get_parent_state
  irq_chip_mask_parent
  irq_chip_retrigger_hierarchy
  irq_chip_set_affinity_parent
  irq_chip_set_parent_state
  irq_chip_set_type_parent
  irq_chip_set_vcpu_affinity_parent
  irq_chip_set_wake_parent
  irq_chip_unmask_parent
  irq_create_fwspec_mapping
  irq_create_mapping_affinity
  irq_create_of_mapping
  irq_dispose_mapping
  irq_do_set_affinity
  irq_domain_alloc_irqs_parent
  irq_domain_create_hierarchy
  irq_domain_disconnect_hierarchy
  irq_domain_free_irqs_common
  irq_domain_free_irqs_parent
  irq_domain_get_irq_data
  irq_domain_remove
  irq_domain_set_hwirq_and_chip
  irq_domain_set_info
  irq_domain_simple_ops
  irq_domain_translate_twocell
  irq_domain_update_bus_token
  irq_domain_xlate_onecell
  irq_domain_xlate_twocell
  irq_find_matching_fwspec
  irq_get_irq_data
  irq_get_irqchip_state
  irq_modify_status
  irq_of_parse_and_map
  irq_set_affinity
  irq_set_affinity_notifier
  irq_set_chained_handler_and_data
  irq_set_chip_and_handler_name
  irq_set_chip_data
  irq_set_handler_data
  irq_set_irq_type
  irq_set_irq_wake
  irq_set_irqchip_state
  irq_set_parent
  irq_to_desc
  irq_work_queue
  irq_work_queue_on
  irq_work_sync
  is_virtio_device
  is_vmalloc_addr
  isolate_and_split_free_page
  isolate_anon_lru_page
  iterate_fd
  jiffies
  jiffies64_to_msecs
  jiffies_64
  jiffies_64_to_clock_t
  jiffies_to_msecs
  jiffies_to_usecs
  kasan_flag_enabled
  kasprintf
  kern_mount
  kern_unmount
  kernel_accept
  kernel_bind
  kernel_connect
  kernel_cpustat
  kernel_getsockname
  kernel_kobj
  kernel_listen
  kernel_param_lock
  kernel_param_unlock
  kernel_power_off
  kernel_recvmsg
  kernel_restart
  kernel_sendmsg
  kernel_sock_shutdown
  kernfs_find_and_get_ns
  kernfs_notify
  kernfs_put
  key_create_or_update
  key_put
  keyring_alloc
  kfree
  kfree_const
  kfree_sensitive
  kfree_skb_list_reason
  kfree_skb_partial
  kfree_skb_reason
  kick_all_cpus_sync
  kill_anon_super
  kill_fasync
  kimage_vaddr
  kimage_voffset
  kmalloc_caches
  kmalloc_large
  kmalloc_node_trace
  kmalloc_trace
  kmem_cache_alloc
  kmem_cache_create
  kmem_cache_create_usercopy
  kmem_cache_destroy
  kmem_cache_free
  kmemdup
  kmemdup_nul
  kmsg_dump_get_buffer
  kmsg_dump_get_line
  kmsg_dump_register
  kmsg_dump_rewind
  kmsg_dump_unregister
  kobj_sysfs_ops
  kobject_add
  kobject_create_and_add
  kobject_del
  kobject_get
  kobject_get_path
  kobject_init
  kobject_init_and_add
  kobject_put
  kobject_set_name
  kobject_uevent
  kobject_uevent_env
  krealloc
  kset_create_and_add
  kset_find_obj
  kset_unregister
  ksize
  ksoftirqd
  kstat
  kstat_irqs_cpu
  kstat_irqs_usr
  kstrdup
  kstrdup_const
  kstrdup_quotable_cmdline
  kstrndup
  kstrtobool
  kstrtobool_from_user
  kstrtoint
  kstrtoint_from_user
  kstrtol_from_user
  kstrtoll
  kstrtos8
  kstrtos8_from_user
  kstrtou16
  kstrtou16_from_user
  kstrtou8
  kstrtou8_from_user
  kstrtouint
  kstrtouint_from_user
  kstrtoul_from_user
  kstrtoull
  kstrtoull_from_user
  kthread_bind
  kthread_bind_mask
  kthread_cancel_delayed_work_sync
  kthread_cancel_work_sync
  kthread_complete_and_exit
  kthread_create_on_cpu
  kthread_create_on_node
  kthread_create_worker
  kthread_delayed_work_timer_fn
  kthread_destroy_worker
  kthread_flush_work
  kthread_flush_worker
  kthread_mod_delayed_work
  kthread_park
  kthread_parkme
  kthread_queue_delayed_work
  kthread_queue_work
  kthread_should_park
  kthread_should_stop
  kthread_stop
  kthread_unpark
  kthread_worker_fn
  ktime_add_safe
  ktime_get
  ktime_get_coarse_real_ts64
  ktime_get_coarse_with_offset
  ktime_get_mono_fast_ns
  ktime_get_raw
  ktime_get_raw_ts64
  ktime_get_real_seconds
  ktime_get_real_ts64
  ktime_get_seconds
  ktime_get_snapshot
  ktime_get_ts64
  ktime_get_with_offset
  kunit_binary_assert_format
  kunit_do_failed_assertion
  kunit_kmalloc_array
  kunit_ptr_not_err_assert_format
  kvasprintf_const
  kvfree
  kvfree_call_rcu
  kvmalloc_node
  l2cap_add_psm
  l2cap_chan_close
  l2cap_chan_connect
  l2cap_chan_create
  l2cap_chan_del
  l2cap_chan_list
  l2cap_chan_put
  l2cap_chan_send
  l2cap_chan_set_defaults
  l2cap_conn_get
  l2cap_conn_put
  l2cap_is_socket
  l2cap_register_user
  l2cap_unregister_user
  l2tp_recv_common
  l2tp_session_create
  l2tp_session_dec_refcount
  l2tp_session_delete
  l2tp_session_get
  l2tp_session_get_by_ifname
  l2tp_session_get_nth
  l2tp_session_inc_refcount
  l2tp_session_register
  l2tp_session_set_header_len
  l2tp_sk_to_tunnel
  l2tp_tunnel_create
  l2tp_tunnel_dec_refcount
  l2tp_tunnel_delete
  l2tp_tunnel_get
  l2tp_tunnel_get_nth
  l2tp_tunnel_get_session
  l2tp_tunnel_inc_refcount
  l2tp_tunnel_register
  l2tp_udp_encap_recv
  l2tp_xmit_skb
  led_classdev_flash_register_ext
  led_classdev_flash_unregister
  led_classdev_register_ext
  led_classdev_unregister
  led_mc_calc_color_components
  led_trigger_event
  led_trigger_register
  led_trigger_register_simple
  led_trigger_unregister
  led_trigger_unregister_simple
  linkwatch_fire_event
  list_sort
  llist_add_batch
  llist_reverse_order
  lock_sock_nested
  lockref_get
  log_abnormal_wakeup_reason
  log_post_read_mmio
  log_post_write_mmio
  log_read_mmio
  log_threaded_irq_wakeup_reason
  log_write_mmio
  logfc
  lookup_bdev
  loops_per_jiffy
  lowpan_header_compress
  lowpan_header_decompress
  lowpan_nhc_add
  lowpan_nhc_del
  lowpan_register_netdev
  lowpan_register_netdevice
  lowpan_unregister_netdev
  lowpan_unregister_netdevice
  mac_pton
  mas_empty_area_rev
  mas_find
  match_string
  mbox_chan_received_data
  mbox_chan_txdone
  mbox_client_txdone
  mbox_controller_register
  mbox_controller_unregister
  mbox_free_channel
  mbox_request_channel
  mbox_send_message
  mdio_device_create
  mdio_device_free
  mdiobus_alloc_size
  mdiobus_free
  mdiobus_get_phy
  mdiobus_modify_changed
  mdiobus_read
  mdiobus_unregister
  mdiobus_write
  media_device_cleanup
  media_device_init
  media_device_unregister
  media_entity_pads_init
  mem_dump_obj
  mem_section
  memblock_end_of_DRAM
  memblock_free
  memchr
  memchr_inv
  memcmp
  memcpy
  memcpy_and_pad
  memdup_user
  memdup_user_nul
  memmove
  memory_block_size_bytes
  memory_cgrp_subsys
  memory_cgrp_subsys_enabled_key
  memory_read_from_buffer
  memparse
  mempool_alloc
  mempool_alloc_pages
  mempool_alloc_slab
  mempool_create
  mempool_destroy
  mempool_free
  mempool_free_pages
  mempool_free_slab
  mempool_resize
  memremap
  memremap_pages
  memscan
  memset
  memset64
  memstart_addr
  memunmap
  memunmap_pages
  mfd_add_devices
  mfd_remove_devices
  migrate_pages
  migrate_swap
  mii_check_media
  mii_ethtool_gset
  mii_nway_restart
  mipi_dsi_attach
  mipi_dsi_compression_mode
  mipi_dsi_create_packet
  mipi_dsi_dcs_read
  mipi_dsi_dcs_set_column_address
  mipi_dsi_dcs_set_display_brightness
  mipi_dsi_dcs_set_page_address
  mipi_dsi_dcs_set_tear_off
  mipi_dsi_dcs_write_buffer
  mipi_dsi_detach
  mipi_dsi_device_register_full
  mipi_dsi_driver_register_full
  mipi_dsi_driver_unregister
  mipi_dsi_generic_write
  mipi_dsi_host_register
  mipi_dsi_host_unregister
  mipi_dsi_packet_format_is_long
  mipi_dsi_picture_parameter_set
  misc_deregister
  misc_register
  mmc_add_host
  mmc_alloc_host
  mmc_cqe_request_done
  mmc_free_host
  mmc_gpio_get_cd
  mmc_gpio_get_ro
  mmc_gpiod_request_cd
  mmc_gpiod_request_ro
  mmc_of_parse
  mmc_regulator_get_supply
  mmc_regulator_set_ocr
  mmc_regulator_set_vqmmc
  mmc_remove_host
  mmc_request_done
  mmc_send_tuning
  mmc_wait_for_cmd
  mmput
  mod_delayed_work_on
  mod_node_page_state
  mod_timer
  module_put
  msi_first_desc
  msi_get_virq
  msi_next_desc
  msleep
  msleep_interruptible
  mtree_load
  mutex_is_locked
  mutex_lock
  mutex_lock_interruptible
  mutex_trylock
  mutex_unlock
  n_tty_ioctl_helper
  name_to_dev_t
  napi_complete_done
  napi_consume_skb
  napi_disable
  napi_enable
  napi_gro_flush
  napi_gro_receive
  napi_schedule_prep
  nd_tbl
  neigh_destroy
  neigh_lookup
  neigh_resolve_output
  net_namespace_list
  net_ns_type_operations
  net_ratelimit
  netdev_alert
  netdev_change_features
  netdev_core_stats_alloc
  netdev_err
  netdev_increment_features
  netdev_info
  netdev_lower_state_changed
  netdev_master_upper_dev_link
  netdev_name_in_use
  netdev_notice
  netdev_notify_peers
  netdev_pick_tx
  netdev_printk
  netdev_rss_key_fill
  netdev_rx_handler_register
  netdev_rx_handler_unregister
  netdev_set_default_ethtool_ops
  netdev_state_change
  netdev_update_features
  netdev_upper_dev_link
  netdev_upper_dev_unlink
  netdev_warn
  netif_carrier_off
  netif_carrier_on
  netif_device_attach
  netif_device_detach
  netif_inherit_tso_max
  netif_napi_add_weight
  netif_receive_skb
  netif_receive_skb_list
  netif_rx
  netif_schedule_queue
  netif_set_real_num_rx_queues
  netif_set_real_num_tx_queues
  netif_stacked_transfer_operstate
  netif_tx_lock
  netif_tx_stop_all_queues
  netif_tx_unlock
  netif_tx_wake_queue
  netlink_broadcast
  netlink_capable
  netlink_kernel_release
  netlink_net_capable
  netlink_register_notifier
  netlink_unicast
  netlink_unregister_notifier
  nf_conntrack_destroy
  nf_ct_attach
  nf_ct_delete
  nf_register_net_hooks
  nf_unregister_net_hooks
  nfc_add_se
  nfc_alloc_recv_skb
  nfc_allocate_device
  nfc_class
  nfc_dep_link_is_up
  nfc_driver_failure
  nfc_find_se
  nfc_fw_download_done
  nfc_get_local_general_bytes
  nfc_proto_register
  nfc_proto_unregister
  nfc_register_device
  nfc_remove_se
  nfc_se_connectivity
  nfc_se_transaction
  nfc_send_to_raw_sock
  nfc_set_remote_general_bytes
  nfc_target_lost
  nfc_targets_found
  nfc_tm_activated
  nfc_tm_data_received
  nfc_tm_deactivated
  nfc_unregister_device
  nfc_vendor_cmd_reply
  nla_find
  nla_memcpy
  nla_put
  nla_put_64bit
  nla_put_nohdr
  nla_reserve
  nla_reserve_64bit
  nla_strscpy
  nonseekable_open
  noop_llseek
  nr_cpu_ids
  nr_ipi_get
  nr_irqs
  nr_swap_pages
  ns_capable
  ns_to_kernel_old_timeval
  ns_to_timespec64
  nsec_to_clock_t
  nsecs_to_jiffies
  nvdimm_bus_register
  nvdimm_bus_unregister
  nvdimm_pmem_region_create
  nvmem_cell_get
  nvmem_cell_put
  nvmem_cell_read
  nvmem_cell_read_u32
  nvmem_cell_read_variable_le_u32
  nvmem_cell_write
  nvmem_device_put
  nvmem_device_read
  nvmem_device_write
  nvmem_register
  nvmem_unregister
  of_address_to_resource
  of_alias_get_id
  of_can_transceiver
  of_clk_add_hw_provider
  of_clk_add_provider
  of_clk_del_provider
  of_clk_get
  of_clk_get_by_name
  of_clk_get_from_provider
  of_clk_get_parent_name
  of_clk_hw_onecell_get
  of_clk_hw_simple_get
  of_clk_set_defaults
  of_clk_src_onecell_get
  of_clk_src_simple_get
  of_count_phandle_with_args
  of_cpu_node_to_id
  of_css
  of_devfreq_cooling_register
  of_device_get_match_data
  of_device_is_available
  of_device_is_compatible
  of_device_modalias
  of_device_request_module
  of_device_uevent_modalias
  of_dma_configure_id
  of_dma_controller_free
  of_dma_controller_register
  of_dma_is_coherent
  of_drm_find_bridge
  of_drm_find_panel
  of_find_backlight_by_node
  of_find_compatible_node
  of_find_device_by_node
  of_find_i2c_adapter_by_node
  of_find_i2c_device_by_node
  of_find_matching_node_and_match
  of_find_mipi_dsi_host_by_node
  of_find_node_by_name
  of_find_node_by_phandle
  of_find_node_by_type
  of_find_node_opts_by_path
  of_find_node_with_property
  of_find_property
  of_fwnode_ops
  of_genpd_add_provider_onecell
  of_genpd_add_provider_simple
  of_genpd_del_provider
  of_get_child_by_name
  of_get_compatible_child
  of_get_cpu_node
  of_get_i2c_adapter_by_node
  of_get_mac_address
  of_get_named_gpio_flags
  of_get_next_available_child
  of_get_next_child
  of_get_next_parent
  of_get_parent
  of_get_property
  of_get_regulator_init_data
  of_get_required_opp_performance_state
  of_graph_get_endpoint_by_regs
  of_graph_get_next_endpoint
  of_graph_get_port_parent
  of_graph_get_remote_endpoint
  of_graph_get_remote_node
  of_graph_get_remote_port_parent
  of_graph_is_present
  of_graph_parse_endpoint
  of_hwspin_lock_get_id
  of_icc_get
  of_icc_get_from_provider
  of_icc_xlate_onecell
  of_iomap
  of_irq_find_parent
  of_irq_get
  of_irq_get_byname
  of_irq_parse_one
  of_irq_to_resource
  of_machine_is_compatible
  of_match_device
  of_match_node
  of_modalias_node
  of_n_addr_cells
  of_n_size_cells
  of_node_name_eq
  of_nvmem_device_get
  of_phandle_iterator_init
  of_phandle_iterator_next
  of_phy_is_fixed_link
  of_phy_simple_xlate
  of_platform_depopulate
  of_platform_device_create
  of_platform_device_destroy
  of_platform_populate
  of_pm_clk_add_clks
  of_prop_next_string
  of_prop_next_u32
  of_property_count_elems_of_size
  of_property_match_string
  of_property_read_string
  of_property_read_string_helper
  of_property_read_u32_index
  of_property_read_u64
  of_property_read_u64_index
  of_property_read_variable_u16_array
  of_property_read_variable_u32_array
  of_property_read_variable_u64_array
  of_property_read_variable_u8_array
  of_reserved_mem_device_init_by_idx
  of_reserved_mem_device_release
  of_reserved_mem_lookup
  of_root
  of_thermal_get_ntrips
  of_thermal_get_trip_points
  of_thermal_is_trip_valid
  of_translate_address
  of_usb_host_tpl_support
  offline_and_remove_memory
  on_each_cpu_cond_mask
  oops_in_progress
  open_candev
  out_of_line_wait_on_bit
  out_of_line_wait_on_bit_timeout
  overflowuid
  page_endio
  page_ext_get
  page_ext_put
  page_frag_alloc_align
  page_frag_free
  page_is_ram
  page_mapping
  page_pool_alloc_pages
  page_pool_create
  page_pool_destroy
  page_pool_put_defragged_page
  page_pool_release_page
  page_relinquish
  page_reporting_register
  page_reporting_unregister
  panic
  panic_notifier_list
  panic_timeout
  param_array_ops
  param_get_int
  param_get_string
  param_get_uint
  param_get_ullong
  param_ops_bool
  param_ops_byte
  param_ops_charp
  param_ops_int
  param_ops_long
  param_ops_string
  param_ops_uint
  param_ops_ullong
  param_ops_ulong
  param_set_bool
  param_set_copystring
  param_set_int
  param_set_uint
  passthru_features_check
  pci_aer_clear_nonfatal_status
  pci_alloc_irq_vectors_affinity
  pci_assign_resource
  pci_bus_type
  pci_clear_master
  pci_dev_get
  pci_dev_present
  pci_dev_put
  pci_device_group
  pci_device_is_present
  pci_disable_device
  pci_disable_link_state
  pci_disable_msi
  pci_disable_sriov
  pci_enable_device
  pci_enable_msi
  pci_enable_pcie_error_reporting
  pci_enable_sriov
  pci_enable_wake
  pci_find_bus
  pci_find_capability
  pci_find_ext_capability
  pci_find_next_capability
  pci_free_irq_vectors
  pci_get_device
  pci_get_domain_bus_and_slot
  pci_get_slot
  pci_host_probe
  pci_iomap
  pci_iomap_range
  pci_iounmap
  pci_irq_get_affinity
  pci_irq_vector
  pci_load_and_free_saved_state
  pci_load_saved_state
  pci_match_id
  pci_msi_create_irq_domain
  pci_msi_mask_irq
  pci_msi_unmask_irq
  pci_read_config_byte
  pci_read_config_dword
  pci_read_config_word
  pci_release_region
  pci_release_regions
  pci_release_selected_regions
  pci_request_region
  pci_request_selected_regions
  pci_rescan_bus
  pci_restore_msi_state
  pci_restore_state
  pci_save_state
  pci_set_master
  pci_set_power_state
  pci_store_saved_state
  pci_unregister_driver
  pci_vfs_assigned
  pci_wake_from_d3
  pci_walk_bus
  pci_write_config_dword
  pci_write_config_word
  pcie_capability_clear_and_set_word
  pcie_capability_read_word
  pcie_capability_write_word
  pcpu_nr_pages
  per_cpu_ptr_to_phys
  percpu_down_write
  percpu_free_rwsem
  percpu_up_write
  perf_aux_output_begin
  perf_aux_output_end
  perf_aux_output_flag
  perf_event_addr_filters_sync
  perf_event_create_kernel_counter
  perf_event_disable
  perf_event_enable
  perf_event_pause
  perf_event_read_local
  perf_event_read_value
  perf_event_release_kernel
  perf_event_update_userpage
  perf_get_aux
  perf_pmu_migrate_context
  perf_pmu_register
  perf_pmu_unregister
  perf_trace_buf_alloc
  perf_trace_run_bpf_submit
  pfn_is_map_memory
  phy_attached_info
  phy_calibrate
  phy_configure
  phy_drivers_register
  phy_drivers_unregister
  phy_error
  phy_ethtool_get_wol
  phy_ethtool_set_wol
  phy_exit
  phy_init
  phy_init_eee
  phy_init_hw
  phy_mac_interrupt
  phy_modify
  phy_modify_mmd
  phy_power_off
  phy_power_on
  phy_read_mmd
  phy_resolve_aneg_linkmode
  phy_set_mode_ext
  phy_start_aneg
  phy_trigger_machine
  phy_write_mmd
  phylink_connect_phy
  phylink_create
  phylink_destroy
  phylink_disconnect_phy
  phylink_ethtool_get_eee
  phylink_ethtool_get_pauseparam
  phylink_ethtool_get_wol
  phylink_ethtool_ksettings_get
  phylink_ethtool_ksettings_set
  phylink_ethtool_nway_reset
  phylink_ethtool_set_eee
  phylink_ethtool_set_pauseparam
  phylink_ethtool_set_wol
  phylink_fwnode_phy_connect
  phylink_generic_validate
  phylink_get_eee_err
  phylink_mac_change
  phylink_mii_c22_pcs_decode_state
  phylink_mii_c22_pcs_encode_advertisement
  phylink_mii_ioctl
  phylink_of_phy_connect
  phylink_resume
  phylink_set_port_modes
  phylink_speed_down
  phylink_speed_up
  phylink_start
  phylink_stop
  phylink_suspend
  pick_highest_pushable_task
  pick_migrate_task
  pid_nr_ns
  pid_task
  pin_get_name
  pin_user_pages
  pin_user_pages_fast
  pin_user_pages_remote
  pinconf_generic_dt_free_map
  pinconf_generic_dt_node_to_map
  pinctrl_add_gpio_range
  pinctrl_dev_get_drvdata
  pinctrl_enable
  pinctrl_force_default
  pinctrl_force_sleep
  pinctrl_generic_add_group
  pinctrl_generic_get_group_count
  pinctrl_generic_get_group_name
  pinctrl_generic_get_group_pins
  pinctrl_generic_remove_group
  pinctrl_get
  pinctrl_lookup_state
  pinctrl_pm_select_default_state
  pinctrl_pm_select_idle_state
  pinctrl_pm_select_sleep_state
  pinctrl_put
  pinctrl_remove_gpio_range
  pinctrl_select_default_state
  pinctrl_select_state
  pinctrl_utils_free_map
  pipe_lock
  pipe_unlock
  pktgen_xfrm_outer_mode_output
  platform_bus
  platform_bus_type
  platform_device_add
  platform_device_add_data
  platform_device_add_resources
  platform_device_alloc
  platform_device_del
  platform_device_put
  platform_device_register
  platform_device_register_full
  platform_device_unregister
  platform_driver_unregister
  platform_find_device_by_driver
  platform_get_irq
  platform_get_irq_byname
  platform_get_irq_byname_optional
  platform_get_irq_optional
  platform_get_resource
  platform_get_resource_byname
  platform_irq_count
  platform_irqchip_probe
  platform_msi_domain_alloc_irqs
  platform_msi_domain_free_irqs
  pm_clk_add
  pm_clk_create
  pm_clk_destroy
  pm_clk_resume
  pm_clk_suspend
  pm_generic_resume
  pm_generic_runtime_resume
  pm_generic_runtime_suspend
  pm_generic_suspend
  pm_genpd_add_subdomain
  pm_genpd_init
  pm_genpd_remove
  pm_genpd_remove_subdomain
  pm_get_active_wakeup_sources
  pm_power_off
  pm_relax
  pm_runtime_allow
  pm_runtime_autosuspend_expiration
  pm_runtime_barrier
  pm_runtime_enable
  pm_runtime_forbid
  pm_runtime_force_resume
  pm_runtime_force_suspend
  pm_runtime_get_if_active
  pm_runtime_irq_safe
  pm_runtime_no_callbacks
  pm_runtime_set_autosuspend_delay
  pm_stay_awake
  pm_system_wakeup
  pm_wakeup_dev_event
  pm_wakeup_ws_event
  policy_has_boost_freq
  poll_state_synchronize_rcu
  poll_state_synchronize_srcu
  power_supply_changed
  power_supply_get_by_name
  power_supply_get_by_phandle_array
  power_supply_get_drvdata
  power_supply_get_property
  power_supply_put
  power_supply_reg_notifier
  power_supply_register
  power_supply_set_property
  power_supply_unreg_notifier
  power_supply_unregister
  ppp_channel_index
  ppp_dev_name
  ppp_input
  ppp_input_error
  ppp_output_wakeup
  ppp_register_channel
  ppp_register_compressor
  ppp_register_net_channel
  ppp_unit_number
  ppp_unregister_channel
  ppp_unregister_compressor
  pppox_compat_ioctl
  pppox_ioctl
  pppox_unbind_sock
  pps_event
  pps_register_source
  pps_unregister_source
  preempt_schedule
  preempt_schedule_notrace
  prepare_to_wait
  prepare_to_wait_event
  print_hex_dump
  proc_create
  proc_create_data
  proc_create_net_data
  proc_create_net_single
  proc_create_seq_private
  proc_create_single_data
  proc_dointvec
  proc_dointvec_jiffies
  proc_dointvec_minmax
  proc_dostring
  proc_douintvec_minmax
  proc_doulongvec_minmax
  proc_mkdir
  proc_mkdir_data
  proc_remove
  proc_set_size
  proc_set_user
  proc_symlink
  proto_register
  proto_unregister
  ps2_begin_command
  ps2_cmd_aborted
  ps2_command
  ps2_drain
  ps2_end_command
  ps2_handle_ack
  ps2_handle_response
  ps2_init
  ps2_sendbyte
  ps2_sliced_command
  pskb_expand_head
  pskb_put
  pstore_register
  pstore_unregister
  ptp_classify_raw
  ptp_clock_event
  ptp_clock_index
  ptp_clock_register
  ptp_clock_unregister
  ptp_parse_header
  put_cmsg
  put_device
  put_disk
  put_iova_domain
  put_pid
  put_sg_io_hdr
  put_unused_fd
  put_user_ifreq
  put_vaddr_frames
  putback_movable_pages
  pwm_apply_state
  pwmchip_add
  pwmchip_remove
  qca_read_soc_version
  qca_send_pre_shutdown_cmd
  qca_set_bdaddr
  qca_set_bdaddr_rome
  qca_uart_setup
  qcom_icc_xlate_extended
  qcom_smem_state_get
  qcom_smem_state_put
  qcom_smem_state_register
  qcom_smem_state_unregister
  qcom_smem_state_update_bits
  queue_delayed_work_on
  queue_work_on
  radix_tree_delete
  radix_tree_insert
  radix_tree_iter_delete
  radix_tree_iter_resume
  radix_tree_lookup
  radix_tree_next_chunk
  radix_tree_tagged
  rational_best_approximation
  raw_notifier_call_chain
  raw_notifier_chain_register
  raw_notifier_chain_unregister
  raw_spin_rq_lock_nested
  raw_spin_rq_unlock
  rb_erase
  rb_first
  rb_first_postorder
  rb_insert_color
  rb_last
  rb_next
  rb_next_postorder
  rb_prev
  rb_replace_node
  rcu_barrier
  rcu_barrier_tasks
  rcu_barrier_tasks_trace
  rcu_bind_current_to_nocb
  rcu_check_boost_fail
  rcu_cpu_stall_suppress
  rcu_cpu_stall_suppress_at_boot
  rcu_expedite_gp
  rcu_force_quiescent_state
  rcu_fwd_progress_check
  rcu_get_gp_kthreads_prio
  rcu_get_gp_seq
  rcu_gp_is_expedited
  rcu_gp_is_normal
  rcu_gp_set_torture_wait
  rcu_inkernel_boot_has_ended
  rcu_is_watching
  rcu_jiffies_till_stall_check
  rcu_nocb_cpu_deoffload
  rcu_nocb_cpu_offload
  rcu_read_unlock_trace_special
  rcu_tasks_trace_qs_blkd
  rcu_trc_cmpxchg_need_qs
  rcu_unexpedite_gp
  rcutorture_get_gp_data
  rcuwait_wake_up
  rdev_get_drvdata
  rdev_get_id
  read_cache_page
  reboot_mode
  rebuild_sched_domains
  reciprocal_value
  reclaim_shmem_address_space
  refcount_dec_and_lock
  refcount_dec_and_mutex_lock
  refcount_dec_if_one
  refcount_dec_not_one
  refcount_warn_saturate
  regcache_cache_bypass
  regcache_cache_only
  regcache_drop_region
  regcache_mark_dirty
  regcache_sync
  regcache_sync_region
  register_candev
  register_chrdev_region
  register_console
  register_die_notifier
  register_ftrace_export
  register_inet6addr_notifier
  register_inetaddr_notifier
  register_kernel_break_hook
  register_kprobe
  register_kretprobe
  register_memory_notifier
  register_module_notifier
  register_net_sysctl
  register_netdev
  register_netdevice
  register_netdevice_notifier
  register_netevent_notifier
  register_oom_notifier
  register_pernet_device
  register_pernet_subsys
  register_pm_notifier
  register_pppox_proto
  register_qdisc
  register_reboot_notifier
  register_restart_handler
  register_shrinker
  register_syscore_ops
  register_sysctl
  register_sysctl_table
  register_tcf_proto_ops
  register_virtio_device
  register_virtio_driver
  register_vmap_purge_notifier
  regmap_async_complete
  regmap_bulk_read
  regmap_bulk_write
  regmap_check_range_table
  regmap_exit
  regmap_field_read
  regmap_field_update_bits_base
  regmap_get_device
  regmap_get_val_bytes
  regmap_irq_get_virq
  regmap_irq_set_type_config_simple
  regmap_mmio_detach_clk
  regmap_multi_reg_write
  regmap_multi_reg_write_bypassed
  regmap_noinc_read
  regmap_noinc_write
  regmap_raw_read
  regmap_raw_write
  regmap_raw_write_async
  regmap_read
  regmap_register_patch
  regmap_update_bits_base
  regmap_write
  regulator_allow_bypass
  regulator_bulk_disable
  regulator_bulk_enable
  regulator_bulk_get
  regulator_count_voltages
  regulator_disable
  regulator_disable_regmap
  regulator_enable
  regulator_enable_regmap
  regulator_force_disable
  regulator_get
  regulator_get_current_limit_regmap
  regulator_get_drvdata
  regulator_get_linear_step
  regulator_get_mode
  regulator_get_optional
  regulator_get_voltage
  regulator_get_voltage_rdev
  regulator_get_voltage_sel_regmap
  regulator_is_enabled
  regulator_is_enabled_regmap
  regulator_is_supported_voltage
  regulator_list_voltage_linear
  regulator_list_voltage_linear_range
  regulator_map_voltage_linear
  regulator_map_voltage_linear_range
  regulator_notifier_call_chain
  regulator_put
  regulator_register_notifier
  regulator_set_active_discharge_regmap
  regulator_set_current_limit
  regulator_set_current_limit_regmap
  regulator_set_load
  regulator_set_mode
  regulator_set_pull_down_regmap
  regulator_set_voltage
  regulator_set_voltage_sel_regmap
  regulator_unregister
  regulator_unregister_notifier
  release_firmware
  release_sock
  remap_pfn_range
  remap_vmalloc_range
  remove_cpu
  remove_memory
  remove_proc_entry
  remove_proc_subtree
  remove_resource
  remove_wait_queue
  report_iommu_fault
  request_any_context_irq
  request_firmware
  request_firmware_direct
  request_firmware_into_buf
  request_firmware_nowait
  request_threaded_irq
  resched_curr
  reservation_ww_class
  reset_control_acquire
  reset_control_assert
  reset_control_bulk_assert
  reset_control_bulk_deassert
  reset_control_deassert
  reset_control_put
  reset_control_release
  reset_control_reset
  return_address
  rfkill_alloc
  rfkill_blocked
  rfkill_destroy
  rfkill_find_type
  rfkill_get_led_trigger_name
  rfkill_init_sw_state
  rfkill_pause_polling
  rfkill_register
  rfkill_resume_polling
  rfkill_set_hw_state_reason
  rfkill_set_led_trigger_name
  rfkill_set_states
  rfkill_set_sw_state
  rfkill_soft_blocked
  rfkill_unregister
  rhashtable_destroy
  rhashtable_free_and_destroy
  rhashtable_init
  rhashtable_insert_slow
  rhashtable_walk_enter
  rhashtable_walk_exit
  rhashtable_walk_next
  rhashtable_walk_start_check
  rhashtable_walk_stop
  rhltable_init
  rht_bucket_nested
  rht_bucket_nested_insert
  root_task_group
  round_jiffies
  round_jiffies_relative
  round_jiffies_up
  rpmsg_create_channel
  rpmsg_create_ept
  rpmsg_poll
  rpmsg_register_device
  rpmsg_register_device_override
  rpmsg_release_channel
  rpmsg_send
  rpmsg_trysend
  rpmsg_unregister_device
  rproc_add
  rproc_add_subdev
  rproc_alloc
  rproc_boot
  rproc_coredump
  rproc_coredump_add_custom_segment
  rproc_coredump_add_segment
  rproc_coredump_cleanup
  rproc_coredump_set_elf_info
  rproc_coredump_using_sections
  rproc_del
  rproc_elf_get_boot_addr
  rproc_free
  rproc_get_by_child
  rproc_get_by_phandle
  rproc_put
  rproc_remove_subdev
  rproc_report_crash
  rproc_set_firmware
  rproc_shutdown
  rps_needed
  rt_mutex_lock
  rt_mutex_trylock
  rt_mutex_unlock
  rtc_class_close
  rtc_class_open
  rtc_read_alarm
  rtc_read_time
  rtc_set_alarm
  rtc_time64_to_tm
  rtc_tm_to_time64
  rtc_update_irq
  rtc_valid_tm
  rtnl_is_locked
  rtnl_link_register
  rtnl_link_unregister
  rtnl_lock
  rtnl_register_module
  rtnl_trylock
  rtnl_unicast
  rtnl_unlock
  rtnl_unregister
  rtnl_unregister_all
  runqueues
  safe_candev_priv
  sampling_rate_store
  sb800_prefetch
  sbitmap_add_wait_queue
  sbitmap_any_bit_set
  sbitmap_del_wait_queue
  sbitmap_init_node
  sbitmap_queue_clear
  sbitmap_queue_init_node
  sbitmap_queue_min_shallow_depth
  sbitmap_queue_resize
  sbitmap_queue_show
  scatterwalk_ffwd
  scatterwalk_map_and_copy
  sched_clock
  sched_feat_keys
  sched_feat_names
  sched_set_fifo
  sched_set_fifo_low
  sched_set_normal
  sched_setattr
  sched_setattr_nocheck
  sched_setscheduler
  sched_setscheduler_nocheck
  sched_show_task
  sched_uclamp_used
  schedule
  schedule_hrtimeout
  schedule_timeout
  schedule_timeout_interruptible
  schedule_timeout_uninterruptible
  scmi_driver_register
  scmi_driver_unregister
  scmi_protocol_register
  scmi_protocol_unregister
  scnprintf
  scsi_add_host_with_dma
  scsi_alloc_request
  scsi_autopm_get_device
  scsi_autopm_put_device
  scsi_block_requests
  scsi_block_when_processing_errors
  scsi_change_queue_depth
  scsi_cmd_allowed
  scsi_command_size_tbl
  scsi_device_get
  scsi_device_lookup
  scsi_device_put
  scsi_dma_map
  scsi_dma_unmap
  scsi_host_alloc
  scsi_host_lookup
  scsi_host_put
  scsi_ioctl
  scsi_ioctl_block_when_processing_errors
  scsi_is_host_device
  scsi_normalize_sense
  scsi_print_command
  scsi_register_interface
  scsi_remove_host
  scsi_report_bus_reset
  scsi_scan_host
  scsi_unblock_requests
  sdev_prefix_printk
  sdhci_add_host
  sdhci_cleanup_host
  sdhci_cqe_disable
  sdhci_cqe_enable
  sdhci_cqe_irq
  sdhci_enable_clk
  sdhci_get_property
  sdhci_pltfm_free
  sdhci_pltfm_init
  sdhci_remove_host
  sdhci_reset
  sdhci_set_bus_width
  sdhci_set_power_noreg
  sdhci_setup_host
  sdio_claim_host
  sdio_claim_irq
  sdio_disable_func
  sdio_enable_func
  sdio_memcpy_fromio
  sdio_memcpy_toio
  sdio_readb
  sdio_readsb
  sdio_register_driver
  sdio_release_host
  sdio_release_irq
  sdio_set_block_size
  sdio_unregister_driver
  sdio_writeb
  sdio_writeb_readb
  sdio_writesb
  security_sk_classify_flow
  security_sk_clone
  security_sock_graft
  select_fallback_rq
  send_sig
  send_sig_info
  seq_buf_printf
  seq_hex_dump
  seq_hlist_next
  seq_hlist_start_head
  seq_list_next
  seq_list_start
  seq_lseek
  seq_open
  seq_printf
  seq_putc
  seq_puts
  seq_read
  seq_release
  seq_release_private
  seq_vprintf
  seq_write
  serdev_device_close
  serdev_device_get_tiocm
  serdev_device_open
  serdev_device_set_baudrate
  serdev_device_set_flow_control
  serdev_device_set_tiocm
  serdev_device_wait_until_sent
  serdev_device_write_buf
  serdev_device_write_flush
  serio_close
  serio_interrupt
  serio_open
  serio_reconnect
  serio_rescan
  serio_unregister_child_port
  serio_unregister_driver
  serio_unregister_port
  set_blocksize
  set_capacity
  set_capacity_and_notify
  set_cpus_allowed_ptr
  set_direct_map_range_uncached
  set_disk_ro
  set_freezable
  set_next_entity
  set_normalized_timespec64
  set_page_dirty
  set_page_dirty_lock
  set_task_cpu
  set_user_nice
  setup_udp_tunnel_sock
  sg_alloc_table
  sg_alloc_table_chained
  sg_alloc_table_from_pages_segment
  sg_copy_from_buffer
  sg_copy_to_buffer
  sg_free_table
  sg_free_table_chained
  sg_init_one
  sg_init_table
  sg_miter_next
  sg_miter_skip
  sg_miter_start
  sg_miter_stop
  sg_nents
  sg_next
  sget_fc
  shmem_file_setup
  shmem_read_mapping_page_gfp
  shmem_truncate_range
  show_rcu_gp_kthreads
  show_rcu_tasks_classic_gp_kthread
  show_rcu_tasks_trace_gp_kthread
  show_regs
  si_mem_available
  si_meminfo
  si_swapinfo
  simple_attr_open
  simple_attr_read
  simple_attr_release
  simple_attr_write
  simple_open
  simple_read_from_buffer
  simple_strtol
  simple_strtoll
  simple_strtoul
  simple_strtoull
  simple_write_to_buffer
  single_open
  single_open_size
  single_release
  sk_alloc
  sk_common_release
  sk_error_report
  sk_filter_trim_cap
  sk_free
  sk_reset_timer
  sk_setup_caps
  sk_stop_timer
  skb_add_rx_frag
  skb_append_pagefrags
  skb_checksum
  skb_checksum_help
  skb_clone
  skb_clone_sk
  skb_coalesce_rx_frag
  skb_complete_tx_timestamp
  skb_complete_wifi_ack
  skb_copy
  skb_copy_bits
  skb_copy_datagram_from_iter
  skb_copy_datagram_iter
  skb_copy_expand
  skb_cow_data
  skb_dequeue
  skb_dequeue_tail
  skb_ensure_writable
  skb_free_datagram
  skb_page_frag_refill
  skb_partial_csum_set
  skb_pull
  skb_pull_data
  skb_pull_rcsum
  skb_push
  skb_put
  skb_queue_head
  skb_queue_purge
  skb_queue_tail
  skb_realloc_headroom
  skb_recv_datagram
  skb_scrub_packet
  skb_set_owner_w
  skb_store_bits
  skb_to_sgvec
  skb_trim
  skb_try_coalesce
  skb_tstamp_tx
  skb_unlink
  skip_spaces
  slhc_compress
  slhc_free
  slhc_init
  slhc_remember
  slhc_toss
  slhc_uncompress
  smp_call_function
  smp_call_function_single
  smp_call_function_single_async
  smp_call_on_cpu
  snd_card_free
  snd_card_new
  snd_card_register
  snd_compr_stop_error
  snd_ctl_add
  snd_ctl_boolean_mono_info
  snd_ctl_enum_info
  snd_ctl_new1
  snd_ctl_notify
  snd_ctl_remove
  snd_dma_alloc_dir_pages
  snd_dma_free_pages
  snd_hwdep_new
  snd_info_create_card_entry
  snd_info_create_module_entry
  snd_info_free_entry
  snd_info_register
  snd_interval_refine
  snd_jack_new
  snd_jack_report
  snd_jack_set_key
  snd_pcm_add_chmap_ctls
  snd_pcm_create_iec958_consumer_default
  snd_pcm_fill_iec958_consumer
  snd_pcm_fill_iec958_consumer_hw_params
  snd_pcm_format_physical_width
  snd_pcm_format_width
  snd_pcm_hw_constraint_eld
  snd_pcm_hw_constraint_integer
  snd_pcm_hw_constraint_list
  snd_pcm_hw_constraint_mask64
  snd_pcm_hw_constraint_minmax
  snd_pcm_hw_constraint_step
  snd_pcm_lib_free_pages
  snd_pcm_lib_ioctl
  snd_pcm_lib_malloc_pages
  snd_pcm_lib_preallocate_pages
  snd_pcm_new
  snd_pcm_period_elapsed
  snd_pcm_set_managed_buffer
  snd_pcm_set_managed_buffer_all
  snd_pcm_set_ops
  snd_pcm_std_chmaps
  snd_pcm_stop
  snd_soc_add_component_controls
  snd_soc_add_dai_controls
  snd_soc_bytes_tlv_callback
  snd_soc_card_get_kcontrol
  snd_soc_card_jack_new
  snd_soc_card_jack_new_pins
  snd_soc_component_disable_pin
  snd_soc_component_exit_regmap
  snd_soc_component_force_enable_pin
  snd_soc_component_init_regmap
  snd_soc_component_read
  snd_soc_component_read_field
  snd_soc_component_set_jack
  snd_soc_component_set_pll
  snd_soc_component_set_sysclk
  snd_soc_component_update_bits
  snd_soc_component_write
  snd_soc_component_write_field
  snd_soc_dai_get_channel_map
  snd_soc_dai_link_set_capabilities
  snd_soc_dai_set_channel_map
  snd_soc_dai_set_fmt
  snd_soc_dai_set_pll
  snd_soc_dai_set_sysclk
  snd_soc_dai_set_tdm_slot
  snd_soc_daifmt_clock_provider_from_bitmap
  snd_soc_daifmt_parse_clock_provider_raw
  snd_soc_daifmt_parse_format
  snd_soc_dapm_add_routes
  snd_soc_dapm_disable_pin
  snd_soc_dapm_force_enable_pin
  snd_soc_dapm_get_enum_double
  snd_soc_dapm_get_volsw
  snd_soc_dapm_ignore_suspend
  snd_soc_dapm_kcontrol_dapm
  snd_soc_dapm_kcontrol_widget
  snd_soc_dapm_mixer_update_power
  snd_soc_dapm_mux_update_power
  snd_soc_dapm_new_controls
  snd_soc_dapm_new_widgets
  snd_soc_dapm_put_enum_double
  snd_soc_dapm_put_volsw
  snd_soc_dapm_sync
  snd_soc_find_dai
  snd_soc_get_enum_double
  snd_soc_get_pcm_runtime
  snd_soc_get_volsw
  snd_soc_get_volsw_range
  snd_soc_info_enum_double
  snd_soc_info_multi_ext
  snd_soc_info_volsw
  snd_soc_info_volsw_range
  snd_soc_info_volsw_sx
  snd_soc_jack_report
  snd_soc_lookup_component
  snd_soc_new_compress
  snd_soc_of_get_dai_link_codecs
  snd_soc_of_get_dai_name
  snd_soc_of_parse_audio_routing
  snd_soc_of_parse_audio_simple_widgets
  snd_soc_of_parse_aux_devs
  snd_soc_of_parse_card_name
  snd_soc_of_parse_pin_switches
  snd_soc_params_to_bclk
  snd_soc_pm_ops
  snd_soc_put_enum_double
  snd_soc_put_volsw
  snd_soc_put_volsw_range
  snd_soc_put_volsw_sx
  snd_soc_register_card
  snd_soc_register_component
  snd_soc_rtdcom_lookup
  snd_soc_runtime_set_dai_fmt
  snd_soc_set_runtime_hwparams
  snd_soc_tplg_component_load
  snd_soc_tplg_component_remove
  snd_soc_tplg_widget_bind_event
  snd_soc_unregister_card
  snd_soc_unregister_component
  snd_timer_interrupt
  snd_usb_autoresume
  snd_usb_autosuspend
  snd_usb_endpoint_close
  snd_usb_endpoint_open
  snd_usb_endpoint_prepare
  snprintf
  soc_device_register
  soc_device_unregister
  sock_alloc_send_pskb
  sock_cmsg_send
  sock_common_getsockopt
  sock_common_recvmsg
  sock_common_setsockopt
  sock_create_kern
  sock_diag_register
  sock_diag_save_cookie
  sock_diag_unregister
  sock_edemux
  sock_efree
  sock_gen_put
  sock_gettstamp
  sock_i_ino
  sock_i_uid
  sock_init_data
  sock_no_accept
  sock_no_bind
  sock_no_connect
  sock_no_getname
  sock_no_ioctl
  sock_no_listen
  sock_no_mmap
  sock_no_recvmsg
  sock_no_sendmsg
  sock_no_sendpage
  sock_no_shutdown
  sock_no_socketpair
  sock_queue_rcv_skb_reason
  sock_recv_errqueue
  sock_recvmsg
  sock_register
  sock_release
  sock_rfree
  sock_setsockopt
  sock_unregister
  sock_wfree
  sock_wmalloc
  sockfd_lookup
  softnet_data
  sort
  spi_async
  spi_bus_lock
  spi_bus_type
  spi_bus_unlock
  spi_controller_resume
  spi_controller_suspend
  spi_delay_exec
  spi_finalize_current_message
  spi_finalize_current_transfer
  spi_get_device_id
  spi_get_next_queued_message
  spi_register_controller
  spi_setup
  spi_sync
  spi_sync_locked
  spi_unregister_controller
  spi_write_then_read
  split_page
  spmi_controller_add
  spmi_controller_alloc
  spmi_controller_remove
  spmi_device_from_of
  spmi_ext_register_read
  spmi_ext_register_readl
  spmi_ext_register_write
  spmi_ext_register_writel
  spmi_register_read
  spmi_register_write
  spmi_register_zero_write
  sprint_symbol
  sprintf
  srcu_barrier
  srcu_batches_completed
  srcu_init_notifier_head
  srcu_notifier_call_chain
  srcu_notifier_chain_register
  srcu_notifier_chain_unregister
  srcu_torture_stats_print
  srcutorture_get_gp_data
  sscanf
  stack_depot_fetch
  stack_depot_save
  stack_trace_print
  stack_trace_save
  stack_trace_save_tsk
  start_poll_synchronize_rcu
  start_poll_synchronize_rcu_expedited
  start_poll_synchronize_srcu
  static_key_disable
  static_key_slow_dec
  static_key_slow_inc
  stop_machine
  stop_one_cpu
  stop_one_cpu_nowait
  stpcpy
  strcasecmp
  strcat
  strchr
  strchrnul
  strcmp
  strcpy
  strcspn
  stream_open
  strim
  string_get_size
  strlcat
  strlcpy
  strlen
  strncasecmp
  strncat
  strnchr
  strncmp
  strncpy
  strncpy_from_user
  strndup_user
  strnlen
  strnstr
  strpbrk
  strrchr
  strreplace
  strscpy
  strscpy_pad
  strsep
  strspn
  strstr
  submit_bh
  submit_bio
  subsys_system_register
  suspend_set_ops
  sync_blockdev
  sync_file_create
  sync_file_get_fence
  synchronize_irq
  synchronize_net
  synchronize_rcu
  synchronize_rcu_expedited
  synchronize_rcu_tasks
  synchronize_rcu_tasks_trace
  synchronize_srcu
  synchronize_srcu_expedited
  synth_event_create
  synth_event_delete
  sys_tz
  syscon_node_to_regmap
  syscon_regmap_lookup_by_phandle
  sysctl_sched_features
  sysctl_vals
  sysfs_add_file_to_group
  sysfs_add_link_to_group
  sysfs_create_bin_file
  sysfs_create_file_ns
  sysfs_create_files
  sysfs_create_group
  sysfs_create_groups
  sysfs_create_link
  sysfs_emit
  sysfs_emit_at
  sysfs_notify
  sysfs_remove_bin_file
  sysfs_remove_file_from_group
  sysfs_remove_file_ns
  sysfs_remove_files
  sysfs_remove_group
  sysfs_remove_groups
  sysfs_remove_link
  sysfs_remove_link_from_group
  sysfs_streq
  sysfs_update_group
  sysrq_mask
  system_32bit_el0_cpumask
  system_freezable_power_efficient_wq
  system_freezable_wq
  system_highpri_wq
  system_long_wq
  system_power_efficient_wq
  system_state
  system_unbound_wq
  system_wq
  task_active_pid_ns
  task_rq_lock
  tasklet_init
  tasklet_kill
  tasklet_setup
  tasklet_unlock_wait
  tasklist_lock
  tcf_action_exec
  tcf_exts_destroy
  tcf_exts_dump
  tcf_exts_dump_stats
  tcf_exts_validate
  tcf_queue_work
  tcp_hashinfo
  tcpci_get_tcpm_port
  tcpci_irq
  tcpci_register_port
  tcpci_unregister_port
  tcpm_cc_change
  tcpm_pd_hard_reset
  tcpm_pd_receive
  tcpm_pd_transmit_complete
  tcpm_port_clean
  tcpm_port_is_toggling
  tcpm_sink_frs
  tcpm_sourcing_vbus
  tcpm_vbus_change
  thermal_cdev_update
  thermal_cooling_device_register
  thermal_cooling_device_unregister
  thermal_of_cooling_device_register
  thermal_pressure
  thermal_zone_device_disable
  thermal_zone_device_enable
  thermal_zone_device_register
  thermal_zone_device_unregister
  thermal_zone_device_update
  thermal_zone_get_temp
  thermal_zone_get_zone_by_name
  thread_group_cputime_adjusted
  tick_nohz_get_sleep_length
  time64_to_tm
  timecounter_cyc2time
  timecounter_init
  timecounter_read
  timer_reduce
  timer_unstable_counter_workaround
  timespec64_to_jiffies
  tipc_dump_done
  tipc_dump_start
  tipc_nl_sk_walk
  tipc_sk_fill_sock_diag
  topology_clear_scale_freq_source
  topology_update_done
  topology_update_thermal_pressure
  touch_softlockup_watchdog
  trace_array_put
  trace_clock_local
  trace_event_buffer_commit
  trace_event_buffer_reserve
  trace_event_ignore_this_pid
  trace_event_printf
  trace_event_raw_init
  trace_event_reg
  trace_get_event_file
  trace_handle_return
  trace_output_call
  trace_print_array_seq
  trace_print_bitmask_seq
  trace_print_flags_seq
  trace_print_hex_seq
  trace_print_symbols_seq
  trace_raw_output_prep
  trace_seq_printf
  trace_seq_putc
  tracepoint_probe_register
  tracepoint_probe_register_prio
  tracepoint_probe_unregister
  tracing_off
  try_module_get
  try_to_del_timer_sync
  try_wait_for_completion
  tty_driver_flush_buffer
  tty_driver_kref_put
  tty_encode_baud_rate
  tty_flip_buffer_push
  tty_get_char_size
  tty_hangup
  tty_insert_flip_string_fixed_flag
  tty_kref_put
  tty_ldisc_deref
  tty_ldisc_flush
  tty_ldisc_ref
  tty_mode_ioctl
  tty_port_close
  tty_port_destroy
  tty_port_hangup
  tty_port_init
  tty_port_install
  tty_port_open
  tty_port_put
  tty_port_register_device
  tty_port_tty_get
  tty_port_tty_hangup
  tty_port_tty_wakeup
  tty_register_driver
  tty_register_ldisc
  tty_set_termios
  tty_standard_install
  tty_std_termios
  tty_termios_baud_rate
  tty_termios_copy_hw
  tty_termios_encode_baud_rate
  tty_unregister_device
  tty_unregister_driver
  tty_unregister_ldisc
  tty_unthrottle
  tty_vhangup
  tty_wakeup
  typec_find_port_data_role
  typec_find_port_power_role
  typec_get_drvdata
  typec_mux_get_drvdata
  typec_mux_register
  typec_register_partner
  typec_register_port
  typec_set_data_role
  typec_set_orientation
  typec_set_pwr_opmode
  typec_set_pwr_role
  typec_switch_get_drvdata
  typec_switch_register
  typec_switch_unregister
  typec_unregister_partner
  typec_unregister_port
  uart_add_one_port
  uart_console_device
  uart_console_write
  uart_get_baud_rate
  uart_insert_char
  uart_parse_options
  uart_register_driver
  uart_remove_one_port
  uart_resume_port
  uart_set_options
  uart_suspend_port
  uart_try_toggle_sysrq
  uart_unregister_driver
  uart_update_timeout
  uart_write_wakeup
  uclamp_eff_value
  ucsi_connector_change
  ucsi_create
  ucsi_destroy
  ucsi_get_drvdata
  ucsi_register
  ucsi_send_command
  ucsi_set_drvdata
  ucsi_unregister
  udp4_hwcsum
  udp6_set_csum
  udp_set_csum
  udp_sock_create4
  udp_sock_create6
  udp_table
  udp_tunnel6_xmit_skb
  udp_tunnel_sock_release
  udp_tunnel_xmit_skb
  ufshcd_alloc_host
  ufshcd_auto_hibern8_update
  ufshcd_bkops_ctrl
  ufshcd_config_pwr_mode
  ufshcd_dealloc_host
  ufshcd_dme_configure_adapt
  ufshcd_dme_get_attr
  ufshcd_dme_set_attr
  ufshcd_dump_regs
  ufshcd_fixup_dev_quirks
  ufshcd_get_local_unipro_ver
  ufshcd_get_pwr_dev_param
  ufshcd_hba_enable
  ufshcd_hba_stop
  ufshcd_hold
  ufshcd_init_pwr_dev_param
  ufshcd_make_hba_operational
  ufshcd_mcq_config_esi
  ufshcd_mcq_enable_esi
  ufshcd_mcq_poll_cqe_nolock
  ufshcd_mcq_write_cqis
  ufshcd_pltfrm_init
  ufshcd_pltfrm_shutdown
  ufshcd_query_attr
  ufshcd_query_attr_retry
  ufshcd_query_descriptor_retry
  ufshcd_query_flag
  ufshcd_query_flag_retry
  ufshcd_read_desc_param
  ufshcd_release
  ufshcd_remove
  ufshcd_resume_complete
  ufshcd_runtime_resume
  ufshcd_runtime_suspend
  ufshcd_shutdown
  ufshcd_suspend_prepare
  ufshcd_system_freeze
  ufshcd_system_restore
  ufshcd_system_resume
  ufshcd_system_suspend
  ufshcd_system_thaw
  ufshcd_uic_hibern8_enter
  ufshcd_uic_hibern8_exit
  ufshcd_update_evt_hist
  uio_unregister_device
  unlock_buffer
  unlock_page
  unmap_mapping_range
  unpin_user_page
  unpin_user_pages_dirty_lock
  unregister_blkdev
  unregister_candev
  unregister_chrdev_region
  unregister_console
  unregister_die_notifier
  unregister_ftrace_export
  unregister_inet6addr_notifier
  unregister_inetaddr_notifier
  unregister_kprobe
  unregister_kretprobe
  unregister_memory_notifier
  unregister_net_sysctl_table
  unregister_netdev
  unregister_netdevice_many
  unregister_netdevice_notifier
  unregister_netdevice_queue
  unregister_netevent_notifier
  unregister_oom_notifier
  unregister_pernet_device
  unregister_pernet_subsys
  unregister_pm_notifier
  unregister_pppox_proto
  unregister_qdisc
  unregister_reboot_notifier
  unregister_restart_handler
  unregister_rpmsg_driver
  unregister_shrinker
  unregister_syscore_ops
  unregister_sysctl_table
  unregister_tcf_proto_ops
  unregister_virtio_device
  unregister_virtio_driver
  unregister_vmap_purge_notifier
  up
  up_read
  up_write
  update_devfreq
  update_rq_clock
  usb_add_function
  usb_add_gadget_udc
  usb_add_hcd
  usb_add_phy_dev
  usb_alloc_coherent
  usb_alloc_urb
  usb_amd_dev_put
  usb_amd_prefetch_quirk
  usb_amd_quirk_pll_check
  usb_amd_quirk_pll_disable
  usb_amd_quirk_pll_enable
  usb_anchor_urb
  usb_assign_descriptors
  usb_autopm_get_interface
  usb_autopm_get_interface_async
  usb_autopm_get_interface_no_resume
  usb_autopm_put_interface
  usb_autopm_put_interface_async
  usb_bulk_msg
  usb_bus_idr
  usb_bus_idr_lock
  usb_calc_bus_time
  usb_clear_halt
  usb_composite_setup_continue
  usb_control_msg
  usb_control_msg_recv
  usb_control_msg_send
  usb_copy_descriptors
  usb_create_hcd
  usb_create_shared_hcd
  usb_debug_root
  usb_decode_ctrl
  usb_del_gadget_udc
  usb_deregister
  usb_device_match_id
  usb_disabled
  usb_driver_claim_interface
  usb_driver_release_interface
  usb_enable_autosuspend
  usb_ep_alloc_request
  usb_ep_autoconfig
  usb_ep_dequeue
  usb_ep_disable
  usb_ep_enable
  usb_ep_free_request
  usb_ep_queue
  usb_ep_set_halt
  usb_ep_set_maxpacket_limit
  usb_find_common_endpoints
  usb_free_all_descriptors
  usb_free_coherent
  usb_free_urb
  usb_function_register
  usb_function_unregister
  usb_gadget_activate
  usb_gadget_deactivate
  usb_gadget_giveback_request
  usb_gadget_set_state
  usb_gadget_udc_reset
  usb_gadget_wakeup
  usb_get_dev
  usb_get_from_anchor
  usb_get_intf
  usb_gstrings_attach
  usb_hc_died
  usb_hcd_check_unlink_urb
  usb_hcd_giveback_urb
  usb_hcd_is_primary_hcd
  usb_hcd_link_urb_to_ep
  usb_hcd_pci_pm_ops
  usb_hcd_pci_probe
  usb_hcd_pci_remove
  usb_hcd_pci_shutdown
  usb_hcd_platform_shutdown
  usb_hcd_poll_rh_status
  usb_hcd_resume_root_hub
  usb_hcd_unlink_urb_from_ep
  usb_hcds_loaded
  usb_hub_find_child
  usb_ifnum_to_if
  usb_init_urb
  usb_interface_id
  usb_kill_anchored_urbs
  usb_kill_urb
  usb_match_id
  usb_match_one_id
  usb_otg_state_string
  usb_phy_set_charger_current
  usb_poison_urb
  usb_put_dev
  usb_put_function_instance
  usb_put_hcd
  usb_put_intf
  usb_queue_reset_device
  usb_register_driver
  usb_register_notify
  usb_remove_hcd
  usb_remove_phy
  usb_reset_device
  usb_role_switch_find_by_fwnode
  usb_role_switch_get_drvdata
  usb_role_switch_put
  usb_role_switch_register
  usb_role_switch_set_role
  usb_role_switch_unregister
  usb_root_hub_lost_power
  usb_scuttle_anchored_urbs
  usb_serial_claim_interface
  usb_serial_deregister_drivers
  usb_serial_generic_chars_in_buffer
  usb_serial_generic_close
  usb_serial_generic_get_icount
  usb_serial_generic_open
  usb_serial_generic_process_read_urb
  usb_serial_generic_read_bulk_callback
  usb_serial_generic_resume
  usb_serial_generic_submit_read_urbs
  usb_serial_generic_throttle
  usb_serial_generic_tiocmiwait
  usb_serial_generic_unthrottle
  usb_serial_generic_wait_until_sent
  usb_serial_generic_write
  usb_serial_generic_write_bulk_callback
  usb_serial_generic_write_start
  usb_serial_handle_dcd_change
  usb_serial_port_softint
  usb_serial_register_drivers
  usb_serial_resume
  usb_serial_suspend
  usb_set_device_state
  usb_set_interface
  usb_show_dynids
  usb_speed_string
  usb_store_new_id
  usb_string_id
  usb_submit_urb
  usb_udc_vbus_handler
  usb_unanchor_urb
  usb_unpoison_urb
  usb_unregister_notify
  usbnet_get_endpoints
  usbnet_link_change
  usleep_range_state
  utf16s_to_utf8s
  utf8_data_table
  uuid_parse
  v4l2_compat_ioctl32
  v4l2_ctrl_find
  v4l2_ctrl_get_name
  v4l2_ctrl_handler_free
  v4l2_ctrl_handler_init_class
  v4l2_ctrl_handler_setup
  v4l2_ctrl_log_status
  v4l2_ctrl_new_custom
  v4l2_ctrl_new_std
  v4l2_ctrl_new_std_compound
  v4l2_ctrl_new_std_menu
  v4l2_ctrl_request_complete
  v4l2_ctrl_request_setup
  v4l2_ctrl_subscribe_event
  v4l2_device_register
  v4l2_device_register_subdev
  v4l2_device_unregister
  v4l2_device_unregister_subdev
  v4l2_event_dequeue
  v4l2_event_pending
  v4l2_event_queue
  v4l2_event_queue_fh
  v4l2_event_subscribe
  v4l2_event_unsubscribe
  v4l2_fh_add
  v4l2_fh_del
  v4l2_fh_exit
  v4l2_fh_init
  v4l2_fh_is_singular
  v4l2_fh_open
  v4l2_fh_release
  v4l2_i2c_subdev_init
  v4l2_m2m_buf_queue
  v4l2_m2m_buf_remove
  v4l2_m2m_buf_remove_by_buf
  v4l2_m2m_buf_remove_by_idx
  v4l2_m2m_ctx_init
  v4l2_m2m_ctx_release
  v4l2_m2m_fop_mmap
  v4l2_m2m_fop_poll
  v4l2_m2m_get_curr_priv
  v4l2_m2m_get_vq
  v4l2_m2m_init
  v4l2_m2m_ioctl_create_bufs
  v4l2_m2m_ioctl_dqbuf
  v4l2_m2m_ioctl_expbuf
  v4l2_m2m_ioctl_prepare_buf
  v4l2_m2m_ioctl_qbuf
  v4l2_m2m_ioctl_querybuf
  v4l2_m2m_ioctl_reqbufs
  v4l2_m2m_ioctl_streamoff
  v4l2_m2m_ioctl_streamon
  v4l2_m2m_ioctl_try_decoder_cmd
  v4l2_m2m_ioctl_try_encoder_cmd
  v4l2_m2m_job_finish
  v4l2_m2m_next_buf
  v4l2_m2m_qbuf
  v4l2_m2m_register_media_controller
  v4l2_m2m_release
  v4l2_m2m_request_queue
  v4l2_m2m_unregister_media_controller
  v4l2_s_ctrl
  v4l2_src_change_event_subscribe
  v4l2_subdev_call_wrappers
  v4l2_subdev_init
  vb2_buffer_done
  vb2_create_bufs
  vb2_dma_contig_memops
  vb2_dma_sg_memops
  vb2_dqbuf
  vb2_fop_mmap
  vb2_fop_poll
  vb2_fop_read
  vb2_fop_release
  vb2_ioctl_create_bufs
  vb2_ioctl_dqbuf
  vb2_ioctl_expbuf
  vb2_ioctl_qbuf
  vb2_ioctl_querybuf
  vb2_ioctl_reqbufs
  vb2_ioctl_streamoff
  vb2_ioctl_streamon
  vb2_mmap
  vb2_ops_wait_finish
  vb2_ops_wait_prepare
  vb2_plane_cookie
  vb2_plane_vaddr
  vb2_poll
  vb2_prepare_buf
  vb2_qbuf
  vb2_querybuf
  vb2_queue_error
  vb2_queue_init
  vb2_queue_release
  vb2_reqbufs
  vb2_request_validate
  vb2_streamoff
  vb2_streamon
  vb2_vmalloc_memops
  vb2_wait_for_all_buffers
  vchan_dma_desc_free_list
  vchan_find_desc
  vchan_init
  vchan_tx_desc_free
  vchan_tx_submit
  verify_pkcs7_signature
  vfree
  vfs_fsync_range
  vhost_add_used_and_signal
  vhost_dev_check_owner
  vhost_dev_cleanup
  vhost_dev_init
  vhost_dev_ioctl
  vhost_dev_stop
  vhost_disable_notify
  vhost_enable_notify
  vhost_get_vq_desc
  vhost_log_access_ok
  vhost_vq_access_ok
  vhost_vq_init_access
  vhost_vring_ioctl
  video_devdata
  video_device_alloc
  video_device_release
  video_device_release_empty
  video_ioctl2
  video_unregister_device
  virtio_break_device
  virtio_check_driver_offered_feature
  virtio_config_changed
  virtio_device_freeze
  virtio_device_restore
  virtio_max_dma_size
  virtio_reset_device
  virtio_transport_connect
  virtio_transport_deliver_tap_pkt
  virtio_transport_destruct
  virtio_transport_dgram_allow
  virtio_transport_dgram_bind
  virtio_transport_dgram_dequeue
  virtio_transport_dgram_enqueue
  virtio_transport_do_socket_init
  virtio_transport_free_pkt
  virtio_transport_notify_buffer_size
  virtio_transport_notify_poll_in
  virtio_transport_notify_poll_out
  virtio_transport_notify_recv_init
  virtio_transport_notify_recv_post_dequeue
  virtio_transport_notify_recv_pre_block
  virtio_transport_notify_recv_pre_dequeue
  virtio_transport_notify_send_init
  virtio_transport_notify_send_post_enqueue
  virtio_transport_notify_send_pre_block
  virtio_transport_notify_send_pre_enqueue
  virtio_transport_recv_pkt
  virtio_transport_release
  virtio_transport_seqpacket_dequeue
  virtio_transport_seqpacket_enqueue
  virtio_transport_seqpacket_has_data
  virtio_transport_shutdown
  virtio_transport_stream_allow
  virtio_transport_stream_dequeue
  virtio_transport_stream_enqueue
  virtio_transport_stream_has_data
  virtio_transport_stream_has_space
  virtio_transport_stream_is_active
  virtio_transport_stream_rcvhiwat
  virtqueue_add_inbuf
  virtqueue_add_inbuf_ctx
  virtqueue_add_outbuf
  virtqueue_add_sgs
  virtqueue_detach_unused_buf
  virtqueue_disable_cb
  virtqueue_disable_dma_api_for_buffers
  virtqueue_enable_cb
  virtqueue_enable_cb_delayed
  virtqueue_enable_cb_prepare
  virtqueue_get_avail_addr
  virtqueue_get_buf
  virtqueue_get_buf_ctx
  virtqueue_get_desc_addr
  virtqueue_get_used_addr
  virtqueue_get_vring_size
  virtqueue_is_broken
  virtqueue_kick
  virtqueue_kick_prepare
  virtqueue_notify
  virtqueue_poll
  virtqueue_resize
  vlan_dev_vlan_id
  vlan_filter_drop_vids
  vlan_filter_push_vids
  vlan_ioctl_set
  vlan_uses_dev
  vlan_vid_add
  vlan_vid_del
  vlan_vids_add_by_dev
  vlan_vids_del_by_dev
  vm_event_states
  vm_get_page_prot
  vm_insert_page
  vm_iomap_memory
  vm_map_pages
  vm_map_ram
  vm_mmap
  vm_munmap
  vm_node_stat
  vm_unmap_ram
  vm_zone_stat
  vmalloc
  vmalloc_nr_pages
  vmalloc_to_page
  vmalloc_to_pfn
  vmalloc_user
  vmap
  vmemdup_user
  vmf_insert_mixed
  vmf_insert_pfn
  vmf_insert_pfn_prot
  vprintk
  vprintk_emit
  vring_create_virtqueue
  vring_del_virtqueue
  vring_interrupt
  vring_new_virtqueue
  vring_transport_features
  vscnprintf
  vsnprintf
  vsock_core_register
  vsock_core_unregister
  vsock_for_each_connected_socket
  vsprintf
  vunmap
  vzalloc
  vzalloc_node
  wait_for_completion
  wait_for_completion_interruptible
  wait_for_completion_interruptible_timeout
  wait_for_completion_io_timeout
  wait_for_completion_killable
  wait_for_completion_killable_timeout
  wait_for_completion_timeout
  wait_for_device_probe
  wait_woken
  wake_up_bit
  wake_up_if_idle
  wake_up_process
  wake_up_var
  wakeup_source_add
  wakeup_source_create
  wakeup_source_destroy
  wakeup_source_register
  wakeup_source_remove
  wakeup_source_unregister
  wakeup_sources_read_lock
  wakeup_sources_read_unlock
  wakeup_sources_walk_next
  wakeup_sources_walk_start
  watchdog_init_timeout
  watchdog_register_device
  watchdog_set_restart_priority
  watchdog_unregister_device
  wireless_nlevent_flush
  wireless_send_event
  woken_wake_function
  work_busy
  wpan_phy_find
  wpan_phy_for_each
  wpan_phy_free
  wpan_phy_new
  wpan_phy_register
  wpan_phy_unregister
  ww_mutex_lock
  ww_mutex_lock_interruptible
  ww_mutex_trylock
  ww_mutex_unlock
  xa_destroy
  xa_erase
  xa_find
  xa_find_after
  xa_load
  xa_store
  xdp_convert_zc_to_xdp_frame
  xdp_do_flush
  xdp_do_redirect
  xdp_master_redirect
  xdp_return_frame
  xdp_return_frame_rx_napi
  xdp_rxq_info_is_reg
  xdp_rxq_info_reg_mem_model
  xdp_rxq_info_unreg
  xdp_rxq_info_unreg_mem_model
  xdp_warn
  xfrm_lookup
  xfrm_state_lookup_byspi
  xfrm_stateonly_find
  xhci_alloc_command
  xhci_alloc_erst
  xhci_free_command
  xhci_gen_setup
  xhci_get_endpoint_index
  xhci_init_driver
  xhci_queue_stop_endpoint
  xhci_resume
  xhci_ring_alloc
  xhci_ring_cmd_db
  xhci_ring_free
  xhci_run
  xhci_suspend
  xhci_trb_virt_to_dma
  xp_alloc
  xp_dma_map
  xp_dma_sync_for_cpu_slow
  xp_dma_sync_for_device_slow
  xp_dma_unmap
  xp_free
  xp_raw_get_dma
  xp_set_rxq_info
  xsk_clear_rx_need_wakeup
  xsk_get_pool_from_qid
  xsk_set_rx_need_wakeup
  xsk_set_tx_need_wakeup
  xsk_tx_completed
  xsk_tx_peek_desc
  xsk_tx_release
  xsk_uses_need_wakeup
  zap_vma_ptes
  zlib_deflate
  zlib_deflateEnd
  zlib_deflateInit2
  zlib_deflateReset
  zlib_deflate_workspacesize
  zlib_inflate
  zlib_inflateIncomp
  zlib_inflateInit2
  zlib_inflateReset
  zlib_inflate_workspacesize
  zs_compact
  zs_create_pool
  zs_destroy_pool
  zs_free
  zs_get_total_pages
  zs_huge_class_size
  zs_malloc
  zs_map_object
  zs_pool_stats
  zs_unmap_object