[abi_symbol_list] # commonly used symbols arm64_const_caps_ready __cpuhp_remove_state __cpuhp_setup_state cpu_hwcap_keys kasan_flag_enabled kfree kmalloc_caches kmem_cache_alloc_trace memcpy module_layout __per_cpu_offset preempt_schedule _printk __put_task_struct __stack_chk_fail __ubsan_handle_cfi_check_fail_abort # required by zram.ko __alloc_percpu bio_endio bio_end_io_acct_remapped bio_start_io_acct __blk_alloc_disk blk_cleanup_disk blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size __class_register class_unregister __cpuhp_state_add_instance __cpuhp_state_remove_instance cpumask_next __cpu_possible_mask crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg del_gendisk device_add_disk disk_end_io_acct disk_start_io_acct down_read down_write flush_dcache_page free_pages free_percpu fsync_bdev __get_free_pages idr_alloc idr_destroy idr_find idr_for_each idr_remove __init_rwsem kstrtoint kstrtou16 kstrtoull memparse memset64 mutex_is_locked mutex_lock mutex_unlock nr_cpu_ids __num_online_cpus page_endio param_ops_uint __register_blkdev scnprintf set_capacity set_capacity_and_notify snprintf strcmp strcpy strlcpy strlen __sysfs_match_string sysfs_streq unregister_blkdev up_read up_write vfree vzalloc zs_compact zs_create_pool zs_destroy_pool zs_free zs_get_total_pages zs_huge_class_size zs_malloc zs_map_object zs_pool_stats zs_unmap_object # required by zsmalloc.ko alloc_anon_inode __alloc_pages __ClearPageMovable contig_page_data dec_zone_page_state finish_wait flush_work __free_pages inc_zone_page_state init_pseudo init_wait_entry __init_waitqueue_head iput kern_mount kern_unmount kill_anon_super kmem_cache_alloc kmem_cache_create kmem_cache_destroy kmem_cache_free kstrdup __list_add_valid __list_del_entry_valid __lock_page memstart_addr page_mapping prepare_to_wait_event __put_page queue_work_on _raw_read_lock _raw_read_unlock _raw_spin_lock _raw_spin_unlock _raw_write_lock _raw_write_unlock register_shrinker schedule __SetPageMovable system_wq unlock_page unregister_shrinker __wake_up [abi_symbol_list] # commonly used symbols alloc_anon_inode alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages __alloc_skb alloc_workqueue amba_driver_register amba_driver_unregister __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings bcmp blk_cleanup_disk blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bt_err bt_info bt_warn build_skb cancel_delayed_work cancel_delayed_work_sync cancel_work_sync __cfi_slowpath_diag __check_object_size __class_create class_destroy __class_register class_unregister __ClearPageMovable clk_disable clk_enable clk_get_rate clk_prepare clk_set_rate clk_unprepare complete __const_udelay consume_skb contig_page_data __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpumask_next cpu_number __cpu_online_mask __cpu_possible_mask crc32_le crypto_destroy_tfm debugfs_attr_read debugfs_attr_write debugfs_create_devm_seqfile debugfs_create_dir debugfs_create_file debugfs_create_u32 debugfs_create_u8 debugfs_remove delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue dev_alloc_name dev_close dev_driver_string _dev_err __dev_get_by_index device_add_disk device_create device_create_file device_init_wakeup device_remove_file device_unregister _dev_info __dev_kfree_skb_any devm_clk_get devm_clk_hw_register devm_ioremap devm_ioremap_resource devm_kfree devm_kmalloc devm_request_threaded_irq _dev_notice _dev_printk dev_queue_xmit _dev_warn dma_alloc_attrs dma_buf_export dma_fence_context_alloc dma_fence_init dma_fence_release dma_fence_signal_locked dma_free_attrs dmam_alloc_attrs dma_map_page_attrs dma_map_sgtable dma_set_coherent_mask dma_set_mask dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs do_trace_netlink_extack drm_add_modes_noedid drm_atomic_get_crtc_state drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_commit drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset drm_atomic_helper_disable_plane drm_atomic_helper_page_flip drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_update_plane drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_crtc_arm_vblank_event drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_get drm_crtc_vblank_off drm_crtc_vblank_on __drm_dbg drm_debugfs_create_files drm_dev_alloc drm_dev_put drm_dev_register drm_dev_unregister __drm_err drm_gem_fb_create drm_gem_mmap drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_mmap drm_helper_probe_single_connector_modes drm_ioctl drmm_mode_config_init drm_mode_config_reset drm_open drm_poll drm_read drm_release drm_set_preferred_mode drm_simple_encoder_init drm_vblank_init ether_setup eth_mac_addr ethtool_op_get_link ethtool_op_get_ts_info eth_type_trans eth_validate_addr event_triggers_call fd_install _find_first_bit _find_next_bit finish_wait firmware_request_nowarn flush_delayed_work flush_work flush_workqueue fput free_irq free_netdev __free_pages free_pages free_percpu genlmsg_put genl_register_family genl_unregister_family get_device __get_free_pages get_random_bytes get_unused_fd_flags gic_nonsecure_priorities gpiod_put hci_alloc_dev_priv __hci_cmd_sync __hci_cmd_sync_ev hci_free_dev hci_recv_frame hci_register_dev hci_unregister_dev hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns ida_alloc_range ida_free idr_alloc idr_destroy idr_find idr_for_each idr_remove init_net init_pseudo __init_swait_queue_head init_timer_key init_wait_entry __init_waitqueue_head input_alloc_absinfo input_allocate_device input_event input_free_device input_mt_init_slots input_register_device input_set_abs_params input_unregister_device __ioremap iounmap iput is_vmalloc_addr jiffies jiffies_to_msecs kasan_flag_enabled kern_mount kern_unmount kfree kfree_sensitive kfree_skb kill_anon_super kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kstrndup kstrtoint kstrtouint kthread_create_on_node kthread_park kthread_should_stop kthread_stop kthread_unpark ktime_get ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node __list_add_valid __list_del_entry_valid __local_bh_enable_ip memcpy memmove memparse memset memstart_addr misc_deregister misc_register mod_delayed_work_on mod_timer module_layout __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_lock mutex_lock_interruptible mutex_unlock __napi_alloc_skb napi_complete_done napi_disable napi_enable napi_gro_receive __napi_schedule napi_schedule_prep __netdev_alloc_skb netdev_err netdev_info netdev_rx_handler_register netdev_rx_handler_unregister netdev_upper_dev_unlink netdev_warn netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add __netif_napi_del netif_receive_skb_list netif_rx netif_rx_ni netif_schedule_queue netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ratelimit nf_conntrack_destroy nla_memcpy __nla_parse nla_put_64bit nla_put no_llseek nonseekable_open noop_llseek nr_cpu_ids __num_online_cpus of_device_is_compatible of_find_property of_property_read_variable_u32_array page_frag_alloc_align __page_frag_cache_drain page_frag_free param_ops_bool param_ops_charp param_ops_int param_ops_uint passthru_features_check pci_disable_device pci_enable_device pci_find_capability pci_find_next_capability pci_iounmap pci_read_config_byte pci_read_config_dword __pci_register_driver pci_release_region pci_release_selected_regions pci_request_region pci_request_selected_regions pci_set_master pci_unregister_driver __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit platform_device_add platform_device_add_data platform_device_alloc platform_device_del platform_device_put platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_get_irq platform_get_resource pm_wakeup_dev_event preempt_schedule preempt_schedule_notrace prepare_to_wait_event print_hex_dump _printk pskb_expand_head __pskb_pull_tail ___pskb_trim put_device __put_page __put_task_struct put_unused_fd queue_delayed_work_on queue_work_on ___ratelimit _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore rb_erase rb_insert_color __rcu_read_lock __rcu_read_unlock refcount_warn_saturate __register_blkdev register_netdev register_netdevice register_netdevice_notifier register_pernet_device register_shrinker register_virtio_device register_virtio_driver __regmap_init regmap_write release_firmware remap_pfn_range request_firmware request_threaded_irq rhashtable_insert_slow __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert rtnl_is_locked rtnl_link_register rtnl_link_unregister rtnl_lock rtnl_unlock sched_set_fifo_low schedule schedule_timeout scnprintf seq_lseek seq_printf seq_puts seq_read serio_close serio_interrupt serio_open serio_reconnect __serio_register_driver __serio_register_port serio_unregister_driver set_capacity_and_notify __SetPageMovable sg_alloc_table sg_free_table sg_init_one sg_init_table sg_miter_next sg_miter_start sg_miter_stop sg_next simple_attr_open simple_attr_release single_open single_release skb_add_rx_frag skb_clone skb_copy skb_copy_bits skb_copy_expand skb_dequeue __skb_pad skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_to_sgvec skb_trim skb_tstamp_tx snprintf softnet_data sprintf sscanf __stack_chk_fail strcasecmp strchr strcmp strcpy strlcpy strlen strncpy strscpy __sw_hweight16 __sw_hweight32 __sw_hweight8 sync_file_create synchronize_irq synchronize_net synchronize_rcu sysfs_create_group __sysfs_match_string sysfs_remove_group sysfs_remove_link system_freezable_wq system_wq __tasklet_schedule tasklet_setup tasklet_unlock_wait trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return trace_raw_output_prep __ubsan_handle_cfi_check_fail_abort __udelay unlock_page unregister_blkdev unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_pernet_device unregister_shrinker unregister_virtio_device unregister_virtio_driver usb_add_hcd usb_alloc_urb usb_anchor_urb usb_bulk_msg usb_control_msg usb_create_hcd usb_create_shared_hcd usb_deregister usb_disabled usb_free_urb usb_get_dev usb_hcd_check_unlink_urb usb_hcd_giveback_urb usb_hcd_is_primary_hcd usb_hcd_link_urb_to_ep usb_hcd_poll_rh_status usb_hcd_resume_root_hub usb_hcd_unlink_urb_from_ep usb_kill_anchored_urbs usb_put_dev usb_put_hcd usb_register_driver usb_remove_hcd usb_reset_device usb_submit_urb usb_unanchor_urb __usecs_to_jiffies usleep_range_state vabits_actual vfree virtio_break_device virtio_check_driver_offered_feature virtio_config_changed virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_add_sgs virtqueue_detach_unused_buf virtqueue_disable_cb virtqueue_enable_cb virtqueue_get_avail_addr virtqueue_get_buf virtqueue_get_desc_addr virtqueue_get_used_addr virtqueue_get_vring_size virtqueue_is_broken virtqueue_kick virtqueue_kick_prepare virtqueue_notify vmalloc_to_page vring_create_virtqueue vring_del_virtqueue vring_interrupt vring_transport_features vzalloc wait_for_completion __wake_up wake_up_process __warn_printk # required by goldfish_address_space.ko memremap memunmap # required by goldfish_pipe.ko pin_user_pages_fast unpin_user_pages_dirty_lock # required by goldfish_sync.ko dma_fence_default_wait dma_fence_free # required by virtio-gpu.ko __devm_request_region dma_fence_match_context dma_fence_wait_timeout dma_map_resource dma_resv_add_excl_fence dma_resv_test_signaled dma_resv_wait_timeout dma_unmap_resource drm_add_edid_modes drm_aperture_remove_conflicting_pci_framebuffers drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_reset drm_atomic_helper_damage_merged drm_atomic_helper_dirtyfb drm_atomic_helper_plane_destroy_state drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_reset drm_connector_attach_edid_property drm_connector_register drm_connector_unregister drm_connector_update_edid_property drm_cvt_mode drm_dev_enter drm_dev_exit drm_dev_get drm_dev_printk drm_dev_set_unique drm_dev_unplug drm_do_get_edid drm_event_reserve_init drm_framebuffer_init drm_gem_create_mmap_offset drm_gem_dmabuf_mmap drm_gem_dmabuf_release drm_gem_dmabuf_vmap drm_gem_dmabuf_vunmap drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_free_mmap_offset drm_gem_handle_create drm_gem_lock_reservations drm_gem_map_attach drm_gem_map_detach drm_gem_map_dma_buf drm_gem_object_free drm_gem_object_lookup drm_gem_object_release drm_gem_prime_import drm_gem_private_object_init drm_gem_shmem_create drm_gem_shmem_free_object drm_gem_shmem_get_sg_table drm_gem_shmem_mmap drm_gem_shmem_pin drm_gem_shmem_print_info drm_gem_shmem_unpin drm_gem_shmem_vmap drm_gem_shmem_vunmap drm_gem_unlock_reservations drm_gem_unmap_dma_buf drm_gem_vm_close drm_gem_vm_open drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_kms_helper_hotplug_event drm_mm_init drm_mm_insert_node_in_range drm_mm_print drm_mm_remove_node drm_mm_takedown drm_mode_probed_add drm_plane_cleanup __drm_printfn_seq_file __drm_puts_seq_file drm_send_event drm_universal_plane_init __get_task_comm iomem_resource is_virtio_device memdup_user sync_file_get_fence __traceiter_dma_fence_emit __tracepoint_dma_fence_emit vmemdup_user vm_get_page_prot ww_mutex_lock_interruptible ww_mutex_unlock # required by virtio_blk.ko blk_execute_rq blk_get_request __blk_mq_alloc_disk blk_mq_alloc_tag_set blk_mq_complete_request blk_mq_end_request blk_mq_free_tag_set blk_mq_quiesce_queue blk_mq_start_request blk_mq_start_stopped_hw_queues blk_mq_stop_hw_queue blk_mq_unquiesce_queue blk_mq_virtio_map_queues blk_put_request blk_queue_alignment_offset blk_queue_max_discard_segments blk_queue_max_hw_sectors blk_queue_max_segments blk_queue_max_segment_size blk_queue_write_cache blk_rq_map_kern __blk_rq_map_sg blk_status_to_errno set_disk_ro string_get_size virtio_max_dma_size # required by zram.ko __alloc_percpu bio_endio bio_end_io_acct_remapped bio_start_io_acct __blk_alloc_disk blk_queue_flag_clear crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_has_alg disk_end_io_acct disk_start_io_acct down_read down_write flush_dcache_page fsync_bdev __init_rwsem kstrtou16 kstrtoull memset64 mutex_is_locked page_endio set_capacity sysfs_streq up_read up_write # required by btintel.ko bit_wait_timeout bt_to_errno hci_cmd_sync out_of_line_wait_on_bit_timeout request_firmware_direct wake_up_bit # required by btusb.ko btbcm_set_bdaddr btbcm_setup_apple btbcm_setup_patchram device_set_wakeup_capable disable_irq disable_irq_nosync enable_irq gpiod_get_optional gpiod_set_value_cansleep hci_recv_diag irq_modify_status irq_set_irq_wake ktime_get_mono_fast_ns of_irq_get_byname of_match_device of_property_read_variable_u16_array pm_runtime_allow pm_runtime_forbid __pm_runtime_suspend pm_system_wakeup usb_autopm_get_interface usb_autopm_put_interface usb_driver_claim_interface usb_driver_release_interface usb_enable_autosuspend usb_get_from_anchor usb_ifnum_to_if usb_match_id usb_queue_reset_device usb_scuttle_anchored_urbs usb_set_interface # required by hci_vhci.ko _copy_from_iter iov_iter_revert # required by vexpress-config.ko devres_add __devres_alloc_node devres_free of_find_compatible_node of_get_next_parent of_parse_phandle of_platform_populate of_root regmap_exit # required by virtio-rng.ko hwrng_register hwrng_unregister wait_for_completion_killable # required by virtio_console.ko cdev_add cdev_alloc cdev_del device_destroy fasync_helper freezing_slow_path hvc_alloc hvc_instantiate hvc_kick hvc_poll hvc_remove __hvc_resize kill_fasync kobject_uevent pipe_lock pipe_unlock __refrigerator __register_chrdev __splice_from_pipe system_freezing_cnt __unregister_chrdev # required by clk-vexpress-osc.ko clk_hw_set_rate_range devm_of_clk_add_hw_provider of_clk_hw_simple_get of_property_read_string regmap_read # required by dummy-cpufreq.ko cpufreq_generic_attr cpufreq_register_driver cpufreq_unregister_driver # required by system_heap.ko dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_heap_add dma_heap_get_dev dma_heap_get_name dma_sync_sg_for_cpu __sg_page_iter_next __sg_page_iter_start vmalloc vmap vunmap # required by pl111_drm.ko __clk_get_name clk_hw_get_parent clk_hw_round_rate drm_fb_cma_get_gem_addr drm_gem_cma_dumb_create drm_gem_cma_prime_import_sg_table drm_kms_helper_poll_init drm_of_find_panel_or_bridge drm_panel_bridge_add_typed drm_panel_bridge_connector drm_panel_bridge_remove drm_simple_display_pipe_attach_bridge drm_simple_display_pipe_init of_find_device_by_node of_find_matching_node_and_match of_find_node_opts_by_path of_get_next_available_child of_graph_get_next_endpoint of_reserved_mem_device_init_by_idx of_reserved_mem_device_release regmap_update_bits_base syscon_node_to_regmap # required by vkms.ko __devm_drm_dev_alloc devres_open_group devres_release_group drm_atomic_add_affected_planes drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state __drm_atomic_helper_crtc_reset drm_atomic_helper_fake_vblank drm_atomic_helper_wait_for_flip_done drm_calc_timestamping_constants drm_crtc_accurate_vblank_count drm_crtc_add_crc_entry drm_crtc_vblank_put drm_encoder_cleanup drm_gem_cleanup_shadow_fb __drm_gem_destroy_shadow_plane_state __drm_gem_duplicate_shadow_plane_state drm_gem_fb_get_obj drm_gem_fb_vmap drm_gem_fb_vunmap drm_gem_prepare_shadow_fb __drm_gem_reset_shadow_plane drm_gem_shmem_dumb_create drm_gem_shmem_prime_import_sg_table drm_mode_object_get drm_mode_object_put __drmm_universal_plane_alloc drm_writeback_connector_init drm_writeback_queue_job drm_writeback_signal_completion # required by psmouse.ko bus_register_notifier bus_unregister_notifier device_add_groups device_remove_groups i2c_adapter_type i2c_bus_type i2c_client_type i2c_for_each_dev i2c_new_scanned_device i2c_unregister_device i2c_verify_adapter input_mt_assign_slots input_mt_drop_unused input_mt_report_finger_count input_mt_report_pointer_emulation input_mt_report_slot_state input_mt_sync_frame input_set_capability kstrtobool kstrtou8 ps2_begin_command ps2_cmd_aborted ps2_command ps2_drain ps2_end_command ps2_handle_ack ps2_handle_response ps2_init ps2_sendbyte ps2_sliced_command serio_rescan serio_unregister_child_port strncmp strsep # required by ambakmi.ko amba_release_regions amba_request_regions clk_get clk_put serio_unregister_port # required by pulse8-cec.ko cec_allocate_adapter cec_delete_adapter cec_received_msg_ts cec_register_adapter cec_s_log_addrs cec_s_phys_addr cec_transmit_attempt_done_ts cec_unregister_adapter wait_for_completion_timeout # required by vexpress-sysreg.ko bgpio_init devm_gpiochip_add_data_with_key devm_mfd_add_devices # required by open-dice.ko devm_memremap devm_memunmap of_reserved_mem_lookup __platform_driver_probe simple_read_from_buffer vm_iomap_memory # required by armmmci.ko clk_round_rate devm_of_iomap devm_pinctrl_get __devm_reset_control_get dma_map_sg_attrs dma_release_channel dma_request_chan gpiod_direction_input gpiod_get gpiod_get_value gpiod_set_value mmc_add_host mmc_alloc_host mmc_free_host mmc_gpiod_request_cd mmc_gpiod_request_ro mmc_gpio_get_cd mmc_gpio_get_ro mmc_of_parse mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_remove_host mmc_request_done mmc_send_tuning of_get_property pinctrl_lookup_state pinctrl_pm_select_sleep_state pinctrl_select_default_state pinctrl_select_state pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_use_autosuspend regulator_disable regulator_enable reset_control_assert reset_control_deassert # required by slcan.ko capable hex_asc_upper hex_to_bin tty_hangup tty_mode_ioctl tty_register_ldisc tty_unregister_ldisc # required by gs_usb.ko alloc_candev_mqs alloc_can_err_skb alloc_can_skb can_change_mtu can_free_echo_skb can_get_echo_skb can_put_echo_skb close_candev free_candev open_candev register_candev unregister_candev usb_alloc_coherent usb_free_coherent # required by vcan.ko sock_efree # required by e1000.ko csum_ipv6_magic csum_tcpudp_nofold device_set_wakeup_enable dql_completed dql_reset ethtool_convert_legacy_u32_to_link_mode ethtool_convert_link_mode_to_legacy_u32 napi_get_frags napi_gro_frags __netdev_alloc_frag_align param_array_ops pci_clear_mwi pci_enable_device_mem pci_enable_wake pci_ioremap_bar pci_read_config_word pci_save_state pci_select_bars pci_set_mwi pci_set_power_state pci_wake_from_d3 pcix_get_mmrbc pcix_set_mmrbc system_state # required by net_failover.ko call_netdevice_notifiers dev_get_stats dev_mc_sync_multiple dev_mc_unsync dev_open dev_set_mtu dev_uc_sync_multiple dev_uc_unsync __ethtool_get_link_ksettings netdev_change_features netdev_increment_features netdev_lower_state_changed netdev_pick_tx pci_bus_type vlan_uses_dev vlan_vid_add vlan_vid_del vlan_vids_add_by_dev vlan_vids_del_by_dev # required by virtio_net.ko bpf_dispatcher_xdp_func bpf_master_redirect_enabled_key bpf_prog_add bpf_prog_put bpf_prog_sub bpf_stats_enabled_key bpf_warn_invalid_xdp_action cpumask_next_wrap cpus_read_lock cpus_read_unlock eth_commit_mac_addr_change eth_prepare_mac_addr_change ethtool_sprintf ethtool_virtdev_set_link_ksettings flow_keys_basic_dissector napi_consume_skb netdev_notify_peers netif_set_real_num_rx_queues netif_set_real_num_tx_queues __netif_set_xps_queue sched_clock skb_coalesce_rx_frag __skb_flow_dissect skb_page_frag_refill skb_partial_csum_set __traceiter_xdp_exception __tracepoint_xdp_exception virtqueue_add_inbuf_ctx virtqueue_enable_cb_delayed virtqueue_enable_cb_prepare virtqueue_get_buf_ctx virtqueue_poll xdp_convert_zc_to_xdp_frame xdp_do_flush xdp_do_redirect xdp_master_redirect xdp_return_frame xdp_return_frame_rx_napi xdp_rxq_info_reg xdp_rxq_info_reg_mem_model xdp_rxq_info_unreg xdp_warn # required by mac80211_hwsim.ko device_bind_driver device_release_driver dst_release genl_notify net_namespace_list rhashtable_destroy rhashtable_init schedule_timeout_interruptible __skb_ext_put skb_unlink # required by mt76-usb.ko usb_init_urb usb_kill_urb usb_poison_urb usb_unpoison_urb # required by mt76.ko debugfs_create_blob debugfs_create_file_unsafe devm_kmemdup dev_set_threaded init_dummy_netdev __ioread32_copy __iowrite32_copy kthread_parkme kthread_should_park led_classdev_register_ext led_classdev_unregister of_get_child_by_name of_get_mac_address of_get_next_child of_prop_next_string pci_disable_link_state pcie_capability_clear_and_set_word pcie_capability_read_word # required by mt76x02-lib.ko debugfs_create_bool __kfifo_init # required by mt76x02-usb.ko hrtimer_active system_highpri_wq # required by virt_wifi.ko __module_get module_put netdev_upper_dev_link netif_stacked_transfer_operstate # required by nd_virtio.ko bio_alloc_bioset bio_chain bio_clone_blkg_association fs_bio_set submit_bio # required by virtio_pmem.ko nvdimm_bus_register nvdimm_bus_unregister nvdimm_pmem_region_create # required by goldfish_battery.ko power_supply_changed power_supply_get_drvdata power_supply_register power_supply_unregister # required by rtc-test.ko add_timer devm_rtc_allocate_device __devm_rtc_register_device ktime_get_real_seconds rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq # required by dummy_hcd.ko ktime_get_ts64 strstr usb_add_gadget_udc usb_del_gadget_udc usb_ep_set_maxpacket_limit usb_gadget_giveback_request usb_gadget_udc_reset # required by usbip-core.ko iov_iter_kvec param_ops_ulong sock_recvmsg # required by vhci-hcd.ko kernel_sendmsg kernel_sock_shutdown kstrtoll platform_bus sockfd_lookup usb_speed_string # required by virtio_balloon.ko adjust_managed_page_count all_vm_events balloon_aops balloon_page_alloc balloon_page_dequeue balloon_page_enqueue init_on_free mutex_trylock page_reporting_register page_reporting_unregister register_oom_notifier si_mem_available si_meminfo unregister_oom_notifier vm_event_states vm_node_stat # required by virtio_mmio.ko device_for_each_child device_register devm_platform_ioremap_resource # required by virtio_pci.ko irq_set_affinity_hint pci_alloc_irq_vectors_affinity pci_device_is_present pci_disable_sriov pci_enable_sriov pci_find_ext_capability pci_free_irq_vectors pci_iomap pci_irq_get_affinity pci_irq_vector pci_vfs_assigned virtio_device_freeze virtio_device_restore # required by virtio_pci_modern_dev.ko pci_iomap_range # required by zsmalloc.ko dec_zone_page_state inc_zone_page_state kstrdup __lock_page page_mapping _raw_read_lock _raw_read_unlock _raw_write_lock _raw_write_unlock # required by failover.ko netdev_master_upper_dev_link # required by mac80211.ko __alloc_percpu_gfp arc4_crypt arc4_setkey call_rcu crc32_be crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_shash crypto_alloc_skcipher __crypto_memneq crypto_shash_digest crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey __crypto_xor dev_fetch_sw_netstats get_random_u32 __hw_addr_init __hw_addr_sync __hw_addr_unsync idr_get_next kernel_param_lock kernel_param_unlock kfree_skb_list ktime_get_seconds netdev_set_default_ethtool_ops netif_receive_skb prandom_bytes rb_next rb_prev rcu_barrier register_inet6addr_notifier register_inetaddr_notifier rhashtable_free_and_destroy rhltable_init round_jiffies round_jiffies_relative round_jiffies_up skb_checksum_help skb_clone_sk skb_complete_wifi_ack skb_ensure_writable __skb_get_hash __skb_gso_segment __sw_hweight64 tasklet_kill unregister_inet6addr_notifier unregister_inetaddr_notifier # required by vmw_vsock_virtio_transport.ko sk_error_report virtio_transport_connect virtio_transport_deliver_tap_pkt virtio_transport_destruct virtio_transport_dgram_allow virtio_transport_dgram_bind virtio_transport_dgram_dequeue virtio_transport_dgram_enqueue virtio_transport_do_socket_init virtio_transport_free_pkt virtio_transport_notify_buffer_size virtio_transport_notify_poll_in virtio_transport_notify_poll_out virtio_transport_notify_recv_init virtio_transport_notify_recv_post_dequeue virtio_transport_notify_recv_pre_block virtio_transport_notify_recv_pre_dequeue virtio_transport_notify_send_init virtio_transport_notify_send_post_enqueue virtio_transport_notify_send_pre_block virtio_transport_notify_send_pre_enqueue virtio_transport_recv_pkt virtio_transport_release virtio_transport_seqpacket_dequeue virtio_transport_seqpacket_enqueue virtio_transport_seqpacket_has_data virtio_transport_shutdown virtio_transport_stream_allow virtio_transport_stream_dequeue virtio_transport_stream_enqueue virtio_transport_stream_has_data virtio_transport_stream_has_space virtio_transport_stream_is_active virtio_transport_stream_rcvhiwat vsock_core_register vsock_core_unregister vsock_for_each_connected_socket # required by cfg80211.ko bpf_trace_run10 bpf_trace_run7 _ctype debugfs_rename __dev_change_net_namespace dev_get_by_index device_add device_del device_initialize device_rename dev_set_name gcd genlmsg_multicast_allns get_net_ns_by_fd get_net_ns_by_pid inet_csk_get_port init_uts_ns key_create_or_update key_put keyring_alloc ktime_get_coarse_with_offset memcmp net_ns_type_operations nla_find nla_reserve __nla_validate of_prop_next_u32 __put_net request_firmware_nowait rfkill_alloc rfkill_blocked rfkill_destroy rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister __sock_create sock_release sysfs_create_link system_power_efficient_wq trace_print_array_seq verify_pkcs7_signature wireless_nlevent_flush # required by virtio_snd.ko snd_card_free snd_card_new snd_card_register snd_jack_new snd_jack_report snd_pcm_add_chmap_ctls snd_pcm_format_physical_width snd_pcm_hw_constraint_integer snd_pcm_lib_ioctl snd_pcm_new snd_pcm_period_elapsed snd_pcm_set_managed_buffer_all snd_pcm_set_ops wait_for_completion_interruptible_timeout [abi_symbol_list] # abi_gki_aarch64_virtual_device contains all the symbols that are used by the # virtual device modules. Here goes all the symbols that were used # in abi_gki_aarch64_virtual_device but currently retired (e.g Intel HDA). _snd_ctl_add_follower get_device_system_crosststamp snd_card_disconnect snd_component_add snd_ctl_add_vmaster_hook snd_ctl_apply_vmaster_followers snd_ctl_make_virtual_master snd_ctl_remove snd_ctl_sync_vmaster snd_device_disconnect snd_device_new snd_pci_quirk_lookup snd_pci_quirk_lookup_id snd_pcm_hw_limit_rates snd_pcm_set_sync snd_pcm_suspend_all snd_sgbuf_get_chunk_size snd_pcm_std_chmaps [abi_symbol_list] # for type visibility GKI_struct_selinux_state GKI_struct_readahead_control GKI_struct_blk_mq_alloc_data [abi_symbol_list] # commonly used symbols add_uevent_var alloc_io_pgtable_ops alloc_workqueue __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings bcmp blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bus_register bus_unregister cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag __check_object_size clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_unprepare clk_disable clk_enable clk_fixed_rate_ops clk_get __clk_get_name clk_get_rate clk_hw_get_name clk_hw_get_parent clk_hw_get_rate clk_hw_register clk_prepare clk_put clk_round_rate clk_set_rate clk_sync_state clk_unprepare complete complete_all completion_done __const_udelay consume_skb _copy_from_iter cpu_hwcap_keys cpu_hwcaps cpumask_next cpu_number __cpu_online_mask debugfs_create_dir debugfs_create_file debugfs_create_u32 debugfs_create_x32 debugfs_remove default_llseek delayed_work_timer_fn del_timer_sync destroy_workqueue dev_coredumpv dev_driver_string _dev_err dev_err_probe dev_get_regmap device_find_child device_for_each_child device_get_match_data device_get_named_child_node device_initialize device_init_wakeup device_property_present device_property_read_u32_array device_register device_set_wakeup_capable device_unregister device_wakeup_enable _dev_info __dev_kfree_skb_any devm_add_action devm_clk_bulk_get devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_clk_hw_register devm_free_irq devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_optional devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_mbox_controller_register devm_memremap devm_of_clk_add_hw_provider devm_of_icc_get __devm_of_phy_provider_register devm_of_platform_populate devm_phy_create devm_phy_get devm_pinctrl_register devm_platform_get_and_ioremap_resource devm_platform_ioremap_resource devm_pm_opp_of_add_table devm_pm_opp_set_clkname devm_regmap_add_irq_chip devm_regmap_field_alloc __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_regulator_register devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_snd_soc_register_card devm_snd_soc_register_component __devm_spi_alloc_controller devm_spi_register_controller devm_thermal_zone_of_sensor_register devm_watchdog_register_device _dev_notice dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_genpd_set_performance_state dev_pm_opp_add dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_level dev_pm_opp_get_opp_count dev_pm_opp_of_find_icc_paths dev_pm_opp_put dev_pm_opp_set_opp dev_pm_opp_set_rate devres_add __devres_alloc_node devres_free devres_release dev_set_name _dev_warn disable_irq disable_irq_nosync divider_get_val divider_recalc_rate divider_round_rate_parent dma_alloc_attrs dma_buf_export dma_fence_context_alloc dma_fence_init dma_fence_release dma_fence_signal dma_fence_wait_timeout dma_free_attrs dmam_alloc_attrs dma_map_page_attrs dma_map_sg_attrs dma_map_sgtable dma_mmap_attrs dma_release_channel dma_request_chan dma_set_coherent_mask dma_set_mask dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs driver_register driver_unregister drm_add_edid_modes drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset drm_bridge_add drm_bridge_hpd_notify drm_bridge_remove drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_update_edid_property drm_do_get_edid __drm_err drm_get_edid drm_hdmi_avi_infoframe_from_display_mode drm_helper_probe_single_connector_modes drm_kms_helper_hotplug_event drm_mode_vrefresh enable_irq event_triggers_call _find_first_bit _find_first_zero_bit _find_next_bit finish_wait firmware_request_nowarn flush_work flush_workqueue free_io_pgtable_ops free_irq generic_handle_domain_irq generic_handle_irq geni_icc_disable geni_icc_enable geni_icc_get geni_icc_set_bw geni_se_config_packing geni_se_init geni_se_resources_off geni_se_resources_on geni_se_select_mode get_device get_random_bytes gic_nonsecure_priorities gpiochip_add_data_with_key gpiochip_add_pin_range gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_remove gpiod_direction_output gpiod_direction_output_raw gpiod_get_value_cansleep gpiod_set_consumer_name gpiod_set_raw_value gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_to_desc handle_edge_irq handle_level_irq handle_nested_irq handle_simple_irq hdmi_audio_infoframe_init i2c_adapter_type i2c_add_adapter i2c_add_numbered_adapter i2c_del_adapter i2c_del_driver i2c_parse_fw_timings i2c_put_adapter i2c_register_driver __i2c_smbus_xfer i2c_smbus_xfer i2c_transfer icc_link_create icc_node_add icc_node_create icc_nodes_remove icc_provider_add icc_provider_del icc_set_bw icc_sync_state ida_alloc_range ida_free idr_alloc idr_alloc_cyclic idr_destroy idr_find idr_for_each idr_get_next idr_remove iio_read_channel_processed init_dummy_netdev init_net __init_swait_queue_head init_timer_key init_wait_entry __init_waitqueue_head iomem_resource iommu_attach_device iommu_detach_device iommu_domain_alloc iommu_domain_free iommu_present iommu_unmap __ioread32_copy __ioremap iounmap iov_iter_revert __iowrite32_copy irq_chip_disable_parent irq_chip_enable_parent irq_chip_eoi_parent irq_chip_mask_parent irq_chip_set_affinity_parent irq_chip_set_parent_state irq_chip_set_type_parent irq_chip_set_vcpu_affinity_parent irq_chip_set_wake_parent irq_chip_unmask_parent __irq_domain_add irq_domain_free_irqs_common irq_domain_remove irq_domain_xlate_onecell irq_domain_xlate_twocell irq_find_matching_fwspec irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_wake is_vmalloc_addr jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_connect kernel_getsockname kernel_recvmsg kernel_sendmsg kfree kfree_const kfree_skb __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc_trace kmemdup kstrdup kstrdup_const kstrtoint kstrtouint kthread_create_on_node ktime_get ktime_get_mono_fast_ns ktime_get_real_ts64 ktime_get_with_offset __list_add_valid __list_del_entry_valid __local_bh_enable_ip mbox_client_txdone mbox_free_channel mbox_request_channel mbox_send_message memcpy __memcpy_fromio __memcpy_toio memmove memremap memset __memset_io memstart_addr memunmap mipi_dsi_attach mipi_dsi_detach mipi_dsi_device_register_full mipi_dsi_device_unregister misc_deregister misc_register mod_delayed_work_on mod_timer module_layout __msecs_to_jiffies msleep __mutex_init mutex_is_locked mutex_lock mutex_unlock napi_complete_done napi_disable napi_enable __napi_schedule napi_schedule_prep __netdev_alloc_skb netif_napi_add __netif_napi_del __nla_parse nla_put no_llseek nr_cpu_ids nvmem_cell_get nvmem_cell_put nvmem_cell_read of_address_to_resource of_alias_get_id of_clk_add_hw_provider of_clk_del_provider of_clk_hw_onecell_get of_clk_hw_simple_get of_clk_set_defaults of_device_get_match_data of_device_is_compatible of_device_uevent_modalias of_dma_configure_id of_find_device_by_node of_find_mipi_dsi_host_by_node of_find_property of_fwnode_ops of_genpd_add_provider_onecell of_genpd_del_provider of_get_child_by_name of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_property of_get_regulator_init_data of_graph_get_remote_node of_graph_parse_endpoint of_icc_xlate_onecell of_iomap of_irq_get of_irq_get_byname of_match_device of_match_node of_node_name_eq of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phy_simple_xlate of_platform_depopulate of_platform_populate of_property_count_elems_of_size of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_variable_u32_array of_property_read_variable_u8_array of_reserved_mem_lookup param_ops_bool param_ops_uint pci_clear_master pci_disable_device pcie_capability_read_word pcie_capability_write_word pci_enable_device pci_iomap pci_iounmap pci_read_config_dword __pci_register_driver pci_release_region pci_request_region pci_set_master pci_unregister_driver perf_trace_buf_alloc perf_trace_run_bpf_submit phy_exit phy_init phy_power_off phy_power_on pinconf_generic_dt_node_to_map pinctrl_dev_get_drvdata pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_utils_free_map platform_bus_type platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_resource platform_get_resource_byname pm_genpd_add_subdomain pm_genpd_init __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend preempt_schedule preempt_schedule_notrace prepare_to_wait_event _printk pskb_expand_head __pskb_pull_tail put_device __put_task_struct qcom_smem_state_register qcom_smem_state_unregister queue_delayed_work_on queue_work_on radix_tree_insert radix_tree_lookup radix_tree_next_chunk ___ratelimit rational_best_approximation _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock_bh _raw_write_unlock_bh __rcu_read_lock __rcu_read_unlock rdev_get_drvdata refcount_warn_saturate regcache_cache_only regcache_mark_dirty regcache_sync register_reboot_notifier __register_rpmsg_driver regmap_bulk_read regmap_bulk_write regmap_field_read regmap_field_update_bits_base __regmap_init regmap_irq_get_virq regmap_multi_reg_write regmap_read regmap_register_patch regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_bulk_get regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_is_enabled_regmap regulator_set_load regulator_set_voltage release_firmware __release_region remap_pfn_range request_firmware request_firmware_direct request_firmware_into_buf __request_region request_threaded_irq reset_control_assert reset_control_deassert reset_control_reset rpmsg_register_device rpmsg_send rpmsg_unregister_device rproc_add rproc_add_subdev rproc_alloc rproc_coredump_add_custom_segment rproc_coredump_set_elf_info rproc_del rproc_free rproc_remove_subdev sched_set_fifo_low schedule schedule_timeout scnprintf seq_lseek seq_printf seq_puts seq_read sg_alloc_table sg_free_table sg_init_table sg_next __sg_page_iter_start simple_read_from_buffer single_open single_release skb_dequeue skb_pull skb_push skb_put skb_queue_purge skb_queue_tail skb_trim sk_free snd_pcm_format_width snd_soc_add_component_controls snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_read_field snd_soc_component_update_bits snd_soc_component_write snd_soc_component_write_field snd_soc_dai_set_fmt snd_soc_dai_set_sysclk snd_soc_dapm_add_routes snd_soc_dapm_get_enum_double snd_soc_dapm_get_volsw snd_soc_dapm_kcontrol_dapm snd_soc_dapm_kcontrol_widget snd_soc_dapm_mixer_update_power snd_soc_dapm_mux_update_power snd_soc_dapm_put_enum_double snd_soc_dapm_put_volsw snd_soc_get_enum_double snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_jack_report snd_soc_put_enum_double snd_soc_put_volsw snprintf sock_create_kern sock_release sort __spi_alloc_controller spi_controller_resume spi_controller_suspend spi_finalize_current_transfer spi_register_controller spi_unregister_controller sprintf sscanf __stack_chk_fail strcmp strcpy strlcpy strlen strncmp strncpy strnlen strpbrk strscpy strsep __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 synchronize_irq synchronize_net synchronize_rcu syscon_node_to_regmap syscon_regmap_lookup_by_phandle sysfs_create_link sysfs_emit sysfs_remove_link system_wq tasklet_init tasklet_kill __tasklet_schedule tasklet_setup thermal_cooling_device_register thermal_cooling_device_unregister thermal_zone_device_update trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return trace_raw_output_prep __ubsan_handle_cfi_check_fail_abort __udelay unregister_chrdev_region unregister_reboot_notifier unregister_rpmsg_driver usb_disabled usleep_range_state vabits_actual vfree vmalloc vmap vunmap vzalloc wait_for_completion_interruptible wait_for_completion_timeout __wake_up wake_up_process __warn_printk watchdog_init_timeout xa_erase xa_find xa_find_after # required by apr.ko rpmsg_trysend # required by arm_smmu.ko amba_bustype bus_set_iommu device_link_add device_match_fwnode devm_krealloc driver_find_device generic_device_group generic_iommu_put_resv_regions iommu_alloc_resv_region iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_dma_get_resv_regions iommu_fwspec_add_ids iommu_fwspec_free iommu_group_ref_get of_dma_is_coherent param_ops_int pci_bus_type pci_device_group report_iommu_fault # required by ath.ko # required by ath10k_core.ko cpu_latency_qos_add_request cpu_latency_qos_remove_request crc32_le device_get_mac_address device_set_wakeup_enable guid_gen init_uts_ns __kfifo_alloc __kfifo_free param_ops_ulong skb_copy skb_dequeue_tail skb_queue_head skb_realloc_headroom strlcat # required by ath10k_pci.ko pci_disable_msi pci_enable_msi pci_write_config_dword # required by ath10k_snoc.ko __bitmap_clear devm_clk_bulk_get_optional iommu_map # required by ath11k.ko crypto_alloc_shash crypto_destroy_tfm crypto_shash_final crypto_shash_setkey crypto_shash_update memcpy_and_pad rtnl_lock rtnl_unlock # required by ath11k_ahb.ko rproc_boot rproc_get_by_phandle rproc_shutdown # required by ath11k_pci.ko pci_alloc_irq_vectors_affinity pci_assign_resource pci_free_irq_vectors pci_irq_vector pci_read_config_word # required by bam_dma.ko dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_get_slave_channel of_dma_controller_free of_dma_controller_register pm_runtime_irq_safe vchan_dma_desc_free_list vchan_find_desc vchan_init vchan_tx_desc_free vchan_tx_submit # required by clk-qcom.ko __clk_determine_rate clk_fixed_factor_ops clk_hw_get_flags clk_hw_get_num_parents clk_hw_get_parent_by_index clk_hw_is_enabled clk_hw_round_rate __clk_is_enabled __clk_mux_determine_rate_closest divider_ro_round_rate_parent of_find_node_opts_by_path of_prop_next_u32 pm_genpd_remove_subdomain # required by clk-rpmh.ko clk_hw_is_prepared # required by clk-spmi-pmic-div.ko __ndelay of_clk_get_parent_name # required by cmd-db.ko seq_putc # required by cqhci.ko devm_blk_ksm_init dmam_free_coherent mmc_cqe_request_done # required by display-connector.ko drm_probe_ddc of_get_i2c_adapter_by_node # required by extcon-usb-gpio.ko devm_extcon_dev_allocate devm_extcon_dev_register extcon_set_state_sync gpiod_set_debounce system_power_efficient_wq # required by fastrpc.ko dma_buf_attach dma_buf_detach dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_put dma_buf_unmap_attachment dma_get_sgtable_attrs down_read __find_vma __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking up_read # required by gpio-regulator.ko devm_gpiod_get_index devm_kmemdup devm_kstrdup gpiod_count gpiod_get_optional # required by gpu-sched.ko call_rcu dma_fence_add_callback dma_fence_remove_callback kmem_cache_alloc kmem_cache_create kmem_cache_destroy kmem_cache_free kthread_park kthread_parkme kthread_should_park kthread_should_stop kthread_stop kthread_unpark rcu_barrier wait_for_completion # required by i2c-designware-core.ko i2c_generic_scl_recovery i2c_recover_bus # required by i2c-designware-platform.ko pm_suspend_global_flags # required by i2c-dev.ko bus_register_notifier bus_unregister_notifier __class_create class_destroy i2c_bus_type i2c_for_each_dev i2c_get_adapter i2c_transfer_buffer_flags i2c_verify_client memdup_user register_chrdev_region # required by i2c-mux-pca954x.ko device_create_file device_remove_file i2c_get_device_id i2c_smbus_read_byte i2c_smbus_write_byte irq_create_mapping_affinity irq_dispose_mapping irq_domain_simple_ops # required by i2c-mux.ko __i2c_transfer rt_mutex_lock rt_mutex_trylock rt_mutex_unlock # required by i2c-qcom-geni.ko geni_se_rx_dma_prep geni_se_rx_dma_unprep geni_se_tx_dma_prep geni_se_tx_dma_unprep i2c_get_dma_safe_msg_buf i2c_put_dma_safe_msg_buf # required by i2c-qup.ko __usecs_to_jiffies # required by i2c-rk3x.ko clk_notifier_register clk_notifier_unregister # required by icc-bcm-voter.ko list_sort of_property_match_string # required by icc-osm-l3.ko icc_std_aggregate # required by led-class-multicolor.ko led_classdev_register_ext led_classdev_unregister led_colors led_set_brightness stpcpy # required by llcc-qcom.ko devm_platform_ioremap_resource_byname # required by lmh.ko of_cpu_node_to_id # required by lontium-lt9611uxc.ko print_hex_dump regmap_noinc_read regmap_noinc_write # required by lpass-gfm-sm8250.ko __clk_mux_determine_rate devm_pm_clk_create devm_pm_runtime_enable of_pm_clk_add_clks pm_clk_resume pm_clk_suspend # required by mcp251xfd.ko alloc_candev_mqs alloc_can_err_skb alloc_canfd_skb alloc_can_skb can_bus_off can_change_mtu can_change_state can_fd_dlc2len can_fd_len2dlc can_put_echo_skb can_rx_offload_add_manual can_rx_offload_del can_rx_offload_enable can_rx_offload_get_echo_skb can_rx_offload_queue_sorted can_rx_offload_threaded_irq_finish can_skb_get_frame_len close_candev devm_gpiod_put dql_completed dql_reset free_candev netdev_err netdev_info netdev_notice netdev_warn netif_schedule_queue netif_tx_wake_queue open_candev register_candev regmap_get_val_bytes regmap_raw_write spi_async spi_get_device_id __spi_register_driver spi_setup spi_sync spi_write_then_read timecounter_cyc2time timecounter_init timecounter_read unregister_candev __vmalloc # required by mhi.ko device_add device_del pm_wakeup_dev_event prandom_u32 _raw_read_lock_bh _raw_read_lock_irq _raw_read_lock_irqsave _raw_read_unlock_bh _raw_read_unlock_irq _raw_read_unlock_irqrestore _raw_write_lock_irq _raw_write_lock_irqsave _raw_write_unlock_irq _raw_write_unlock_irqrestore # required by michael_mic.ko crypto_register_shash crypto_unregister_shash # required by msm.ko __bitmap_andnot __bitmap_weight bpf_trace_run6 bpf_trace_run8 clk_get_parent clk_set_parent component_add component_bind_all component_del component_master_add_with_match component_master_del component_unbind_all _ctype debugfs_create_bool debugfs_create_u64 del_timer dev_coredumpm devfreq_cooling_unregister devfreq_recommended_opp devfreq_resume_device devfreq_suspend_device __devm_clk_hw_register_divider devm_clk_hw_register_fixed_factor __devm_clk_hw_register_mux devm_clk_register devm_devfreq_add_device devm_pm_opp_set_supported_hw devm_regulator_get_exclusive dev_pm_opp_get_freq dev_pm_opp_get_voltage dma_resv_add_excl_fence dma_resv_add_shared_fence dma_resv_reserve_shared dma_resv_wait_timeout drm_atomic_get_private_obj_state drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes __drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_duplicate_state __drm_atomic_helper_crtc_reset drm_atomic_helper_crtc_reset drm_atomic_helper_dirtyfb drm_atomic_helper_disable_plane drm_atomic_helper_duplicate_state drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_duplicate_state __drm_atomic_helper_plane_reset drm_atomic_helper_plane_reset __drm_atomic_helper_private_obj_duplicate_state drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_update_plane drm_atomic_print_new_state drm_atomic_private_obj_fini drm_atomic_private_obj_init __drm_atomic_state_free drm_bridge_attach drm_compat_ioctl drm_connector_has_possible_encoder drm_connector_init_with_ddc drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_crtc_cleanup drm_crtc_enable_color_mgmt drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_set_max_vblank_count drm_crtc_vblank_get drm_crtc_vblank_helper_get_vblank_timestamp drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_put __drm_dbg __drm_debug drm_debugfs_create_files drm_detect_hdmi_monitor drm_detect_monitor_audio drm_dev_alloc drm_dev_dbg drm_dev_printk drm_dev_put drm_dev_register drm_dev_unregister drm_dp_aux_register drm_dp_aux_unregister drm_dp_bw_code_to_link_rate drm_dp_channel_eq_ok drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_read_link_status drm_dp_dpcd_write drm_dp_get_adjust_request_pre_emphasis drm_dp_get_adjust_request_voltage drm_dp_link_rate_to_bw_code drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_dp_read_sink_count drm_edid_block_valid drm_encoder_cleanup drm_encoder_init drm_flip_work_cleanup drm_flip_work_commit drm_flip_work_init drm_flip_work_queue drm_format_info drm_framebuffer_init drm_gem_create_mmap_offset drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_fb_get_obj drm_gem_fence_array_add drm_gem_fence_array_add_implicit drm_gem_free_mmap_offset drm_gem_get_pages drm_gem_handle_create drm_gem_mmap drm_gem_object_free drm_gem_object_init drm_gem_object_lookup drm_gem_object_release drm_gem_plane_helper_prepare_fb drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_mmap drm_gem_private_object_init drm_gem_put_pages drm_gem_vm_close drm_gem_vm_open drm_get_format_info drm_handle_vblank drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_ioctl drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_mm_init drm_mm_insert_node_in_range drmm_mode_config_init drm_mm_print drm_mm_remove_node drm_mm_takedown drm_mode_config_cleanup drm_mode_config_helper_resume drm_mode_config_helper_suspend drm_mode_config_reset drm_mode_copy drm_mode_debug_printmodeline drm_mode_destroy drm_mode_duplicate drm_mode_object_find drm_mode_object_put drm_mode_probed_add drm_modeset_acquire_fini drm_modeset_acquire_init drm_modeset_backoff drm_modeset_drop_locks drm_modeset_lock drm_modeset_lock_all drm_modeset_lock_all_ctx drm_modeset_lock_init drm_modeset_unlock drm_modeset_unlock_all drm_object_attach_property drm_of_component_match_add drm_open drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_prepare drm_panel_unprepare drm_plane_cleanup drm_plane_create_alpha_property drm_plane_create_blend_mode_property drm_plane_create_rotation_property drm_plane_create_zpos_property drm_plane_enable_fb_damage_clips drm_poll drm_prime_gem_destroy drm_prime_pages_to_sg drm_prime_sg_to_page_array drm_printf __drm_printfn_coredump __drm_printfn_info __drm_printfn_seq_file drm_puts __drm_puts_coredump __drm_puts_seq_file drm_read drm_rect_calc_hscale drm_rect_calc_vscale drm_rect_intersect drm_release drm_rotation_simplify drm_state_dump drm_syncobj_add_point drm_syncobj_find drm_syncobj_find_fence drm_syncobj_free drm_syncobj_replace_fence drm_universal_plane_init drm_vblank_init fd_install generic_file_llseek get_pid_task get_unused_fd_flags gpiod_direction_input gpiod_get_value hdmi_audio_infoframe_pack hdmi_infoframe_pack hrtimer_init hrtimer_start_range_ns invalidate_mapping_pages iommu_map_sg iommu_set_fault_handler iommu_set_pgtable_quirks kstrdup_quotable_cmdline kstrtouint_from_user kthread_create_worker kthread_destroy_worker kthread_queue_work kvfree kvmalloc_node memdup_user_nul memparse mipi_dsi_create_packet mipi_dsi_host_register mipi_dsi_host_unregister mipi_dsi_packet_format_is_long mutex_lock_interruptible mutex_trylock noop_llseek nr_swap_pages nsecs_to_jiffies nvmem_cell_read_variable_le_u32 of_devfreq_cooling_register of_device_is_available of_drm_find_bridge of_drm_find_panel of_find_matching_node_and_match of_get_compatible_child of_graph_get_endpoint_by_regs of_graph_get_next_endpoint of_graph_get_remote_port_parent of_icc_get param_ops_charp phy_calibrate phy_configure pid_task pm_runtime_get_if_active put_pid put_unused_fd _raw_read_lock _raw_read_unlock _raw_write_lock _raw_write_unlock register_shrinker register_vmap_purge_notifier reservation_ww_class round_jiffies_up sched_set_fifo schedule_timeout_interruptible __sg_page_iter_dma_next shmem_truncate_range simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open strstr sync_file_create sync_file_get_fence unmap_mapping_range unregister_shrinker unregister_vmap_purge_notifier vmf_insert_mixed vm_get_page_prot vscnprintf vsnprintf ww_mutex_lock ww_mutex_lock_interruptible ww_mutex_unlock xa_destroy # required by msm_serial.ko do_SAK handle_sysrq sysrq_mask tty_flip_buffer_push __tty_insert_flip_char tty_termios_baud_rate tty_termios_encode_baud_rate uart_add_one_port uart_get_baud_rate uart_register_driver uart_remove_one_port uart_resume_port uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup # required by ns.ko kernel_bind radix_tree_delete radix_tree_iter_resume # required by nvmem_qfprom.ko devm_nvmem_register # required by ohci-hcd.ko dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free free_pages gen_pool_dma_alloc_align gen_pool_dma_zalloc_align gen_pool_free_owner get_zeroed_page sb800_prefetch schedule_timeout_uninterruptible usb_amd_dev_put usb_amd_quirk_pll_disable usb_amd_quirk_pll_enable usb_calc_bus_time usb_debug_root usb_hcd_check_unlink_urb usb_hcd_giveback_urb usb_hc_died usb_hcd_link_urb_to_ep usb_hcd_poll_rh_status usb_hcd_resume_root_hub usb_hcds_loaded usb_hcd_unlink_urb_from_ep usb_root_hub_lost_power # required by ohci-pci.ko pci_dev_put pci_get_slot pci_match_id usb_amd_prefetch_quirk usb_amd_quirk_pll_check usb_hcd_pci_pm_ops usb_hcd_pci_probe usb_hcd_pci_remove usb_hcd_pci_shutdown # required by ohci-platform.ko devm_reset_control_array_get of_clk_get usb_add_hcd usb_create_hcd usb_hcd_platform_shutdown usb_put_hcd usb_remove_hcd # required by phy-qcom-qmp.ko of_clk_get_by_name __of_reset_control_get # required by phy-qcom-qusb2.ko devm_nvmem_cell_get # required by phy-qcom-usb-hs.ko extcon_get_edev_by_phandle extcon_get_state extcon_register_notifier extcon_unregister_notifier # required by pinctrl-msm.ko device_property_read_u16_array gpiochip_line_is_valid gpiochip_lock_as_irq gpiochip_unlock_as_irq handle_bad_irq handle_fasteoi_ack_irq handle_fasteoi_irq module_put pinctrl_force_default pinctrl_force_sleep pm_power_off register_restart_handler try_module_get unregister_restart_handler # required by pinctrl-spmi-gpio.ko irq_chip_ack_parent of_irq_find_parent # required by pinctrl-spmi-mpp.ko platform_irq_count # required by pm8941-pwrkey.ko devm_input_allocate_device input_event input_register_device input_set_capability # required by q6asm-dai.ko snd_dma_alloc_pages snd_dma_free_pages snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_list snd_pcm_hw_constraint_minmax snd_pcm_hw_constraint_step snd_pcm_period_elapsed snd_pcm_set_managed_buffer_all snd_soc_new_compress snd_soc_set_runtime_hwparams # required by qcom-cpufreq-hw.ko cpufreq_cpu_get_raw cpufreq_enable_boost_support cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_attr_scaling_boost_freqs cpufreq_generic_frequency_table_verify cpufreq_get_driver_data cpufreq_register_driver cpufreq_unregister_driver __cpu_possible_mask cpu_scale dev_pm_opp_adjust_voltage dev_pm_opp_disable dev_pm_opp_enable dev_pm_opp_of_add_table dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_register_em dev_pm_opp_remove_all_dynamic dev_pm_opp_set_sharing_cpus get_cpu_device of_get_cpu_node __per_cpu_offset policy_has_boost_freq system_highpri_wq topology_set_thermal_pressure # required by qcom-pdc.ko irq_chip_get_parent_state irq_chip_retrigger_hierarchy irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_disconnect_hierarchy irq_domain_set_hwirq_and_chip irq_domain_update_bus_token platform_irqchip_probe # required by qcom-pmic-typec.ko dev_fwnode fwnode_handle_put fwnode_property_read_string fwnode_usb_role_switch_get typec_find_port_data_role typec_find_port_power_role typec_register_port typec_set_orientation typec_unregister_port usb_role_switch_put usb_role_switch_set_role # required by qcom-rpmh-regulator.ko regulator_list_voltage_linear_range # required by qcom-scm.ko __arm_smccc_smc __cpu_present_mask kimage_voffset # required by qcom-spmi-adc-tm5.ko devm_of_iio_channel_get_by_name # required by qcom-spmi-adc5.ko devm_iio_device_alloc __devm_iio_device_register # required by qcom-spmi-pmic.ko __spmi_driver_register # required by qcom-spmi-temp-alarm.ko devm_iio_channel_get of_thermal_get_ntrips of_thermal_get_trip_points of_thermal_is_trip_valid # required by qcom-wdt.ko platform_get_irq_optional # required by qcom_aoss.ko clk_hw_unregister devm_thermal_of_cooling_device_register pm_genpd_remove # required by qcom_common.ko rproc_coredump_add_segment rproc_coredump_using_sections srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister # required by qcom_glink.ko device_add_groups # required by qcom_hwspinlock.ko devm_hwspin_lock_register # required by qcom_pil_info.ko of_find_compatible_node # required by qcom_q6v5.ko devm_qcom_smem_state_get qcom_smem_state_update_bits rproc_report_crash # required by qcom_q6v5_wcss.ko rproc_elf_get_boot_addr # required by qcom_rpmh.ko bitmap_find_next_zero_area_off __bitmap_set cpu_pm_register_notifier __num_online_cpus _raw_spin_trylock # required by qcom_spmi-regulator.ko smp_call_function_single # required by qcom_sysmon.ko rproc_get_by_child try_wait_for_completion # required by qcom_tsens.ko debugfs_lookup # required by qcom_usb_vbus-regulator.ko regulator_get_current_limit_regmap regulator_set_current_limit_regmap # required by qrtr-tun.ko _copy_to_iter # required by qrtr.ko __alloc_skb autoremove_wake_function datagram_poll do_wait_intr_irq get_user_ifreq lock_sock_nested proto_register proto_unregister put_user_ifreq radix_tree_iter_delete refcount_dec_and_mutex_lock release_sock sk_alloc skb_clone skb_copy_bits skb_copy_datagram_iter skb_free_datagram __skb_pad skb_recv_datagram skb_set_owner_w sk_error_report sock_alloc_send_skb sock_gettstamp sock_init_data sock_no_accept sock_no_listen sock_no_mmap sock_no_sendpage sock_no_shutdown sock_no_socketpair sock_queue_rcv_skb sock_register sock_unregister __xa_alloc __xa_insert xa_load # required by regmap-spmi.ko spmi_ext_register_read spmi_ext_register_readl spmi_ext_register_write spmi_ext_register_writel spmi_register_read spmi_register_write spmi_register_zero_write # required by rmtfs_mem.ko alloc_chrdev_region __class_register class_unregister # required by rpmsg_ns.ko rpmsg_create_channel rpmsg_create_ept rpmsg_release_channel # required by rtc-pm8xxx.ko devm_request_any_context_irq devm_rtc_allocate_device __devm_rtc_register_device rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq # required by sdhci-msm.ko mmc_of_parse mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_send_tuning regulator_is_supported_voltage __reset_control_get reset_control_put __sdhci_add_host sdhci_add_host sdhci_cleanup_host sdhci_cqe_disable sdhci_cqe_enable sdhci_cqe_irq sdhci_enable_clk sdhci_get_property sdhci_pltfm_free sdhci_pltfm_init sdhci_remove_host sdhci_reset sdhci_set_bus_width sdhci_set_power_noreg __sdhci_set_timeout sdhci_setup_host # required by slim-qcom-ngd-ctrl.ko platform_device_add platform_device_alloc # required by smem.ko hwspin_lock_free hwspin_lock_request_specific __hwspin_lock_timeout __hwspin_unlock of_hwspin_lock_get_id # required by snd-soc-hdmi-codec.ko snd_ctl_add snd_ctl_new1 snd_pcm_add_chmap_ctls snd_pcm_create_iec958_consumer_default snd_pcm_fill_iec958_consumer snd_pcm_fill_iec958_consumer_hw_params snd_pcm_hw_constraint_eld # required by snd-soc-lpass-va-macro.ko dapm_regulator_event regcache_sync_region # required by snd-soc-qcom-common.ko snd_soc_dai_link_set_capabilities snd_soc_of_get_dai_link_codecs snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_parse_aux_devs snd_soc_of_parse_card_name # required by snd-soc-rl6231.ko gcd # required by snd-soc-rt5663.ko regcache_cache_bypass snd_soc_dapm_disable_pin snd_soc_dapm_force_enable_pin snd_soc_dapm_new_controls snd_soc_dapm_sync # required by snd-soc-sdm845.ko snd_jack_set_key snd_soc_card_jack_new snd_soc_component_set_jack snd_soc_dai_get_channel_map snd_soc_dai_set_channel_map snd_soc_dai_set_tdm_slot # required by snd-soc-wcd9335.ko kmemdup_nul snd_soc_get_volsw_sx snd_soc_info_volsw_sx snd_soc_put_volsw_sx strnstr # required by snd-soc-wcd934x.ko kstrndup # required by socinfo.ko add_device_randomness soc_device_register soc_device_unregister # required by soundwire-bus.ko devm_device_add_group devm_device_add_groups dev_pm_domain_attach fwnode_property_present fwnode_property_read_u32_array pm_generic_runtime_resume pm_generic_runtime_suspend # required by spi-geni-qcom.ko geni_se_clk_freq_match geni_se_get_qup_hw_version # required by spi-pl022.ko amba_driver_register amba_driver_unregister amba_release_regions amba_request_regions __dma_request_channel loops_per_jiffy pinctrl_pm_select_idle_state spi_delay_exec spi_finalize_current_message spi_get_next_queued_message tasklet_unlock_wait # required by spi-qcom-qspi.ko icc_disable icc_enable # required by spmi-pmic-arb.ko irq_domain_set_info spmi_controller_add spmi_controller_alloc spmi_controller_remove # required by system_heap.ko dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_heap_add dma_heap_get_dev dma_heap_get_name dma_sync_sg_for_cpu dma_sync_sg_for_device __free_pages __sg_page_iter_next # required by ufs_qcom.ko phy_set_mode_ext ufshcd_dme_configure_adapt ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_get_local_unipro_ver ufshcd_get_pwr_dev_param ufshcd_init_pwr_dev_param ufshcd_pltfrm_init ufshcd_pltfrm_shutdown ufshcd_remove ufshcd_resume_complete ufshcd_runtime_resume ufshcd_runtime_suspend ufshcd_suspend_prepare ufshcd_system_resume ufshcd_system_suspend # required by ulpi.ko of_device_modalias of_device_request_module __request_module # required by wcd934x.ko mfd_add_devices mfd_remove_devices [abi_symbol_list] activate_task add_cpu add_timer add_timer_on adjust_managed_page_count alarm_cancel alarm_init alarm_start_relative alloc_anon_inode alloc_chrdev_region alloc_netdev_mqs __alloc_pages __alloc_percpu __alloc_skb alloc_workqueue amba_driver_register amba_driver_unregister android_debug_symbol android_rvh_probe_register anon_inode_getfd anon_inode_getfile __arch_clear_user __arch_copy_from_user __arch_copy_to_user arch_freq_scale arch_timer_read_counter argv_free argv_split arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_hvc __arm_smccc_smc atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function available_idle_cpu backlight_device_register backlight_device_unregister balance_push_callback bcmp bio_endio bio_end_io_acct_remapped bio_start_io_acct __bitmap_andnot __bitmap_clear __bitmap_complement bitmap_free __bitmap_or bitmap_parse bitmap_parselist bitmap_print_to_pagebuf __bitmap_set __bitmap_shift_left bitmap_to_arr32 __bitmap_weight __bitmap_xor bitmap_zalloc __blk_alloc_disk blk_cleanup_disk blk_ksm_init blk_ksm_reprogram_all_keys blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run10 bpf_trace_run11 bpf_trace_run12 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 bsearch build_skb bus_find_device bus_for_each_dev bus_register bus_register_notifier bus_set_iommu bus_unregister bus_unregister_notifier cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag cgroup_taskset_first cgroup_taskset_next __check_object_size check_preempt_curr __class_create class_create_file_ns class_destroy class_find_device __class_register class_unregister clear_page __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_unprepare clk_disable clk_enable clk_get __clk_get_hw clk_get_rate clk_hw_get_name clk_hw_get_parent clk_hw_get_rate __clk_is_enabled clk_prepare clk_put clk_register clk_register_clkdev clk_register_fixed_factor clk_register_fixed_rate clk_register_gate clk_set_parent clk_set_rate clk_unprepare clockevents_config_and_register clocks_calc_mult_shift __clocksource_register_scale cma_alloc cma_release complete complete_all complete_and_exit completion_done component_add component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_unbind_all config_ep_by_speed config_group_init_type_name console_lock console_printk console_stop console_suspend_enabled console_trylock console_unlock __const_udelay consume_skb contig_page_data __cpu_active_mask cpu_all_bits cpu_bit_bitmap cpufreq_add_update_util_hook cpufreq_cpu_get cpufreq_cpu_put cpufreq_disable_fast_switch cpufreq_driver_fast_switch __cpufreq_driver_target cpufreq_enable_fast_switch cpufreq_freq_transition_begin cpufreq_freq_transition_end cpufreq_frequency_table_get_index cpufreq_generic_attr cpufreq_get_policy cpufreq_quick_get cpufreq_quick_get_max cpufreq_register_driver cpufreq_register_governor cpufreq_register_notifier cpufreq_remove_update_util_hook cpufreq_this_cpu_can_update cpufreq_unregister_notifier cpu_hotplug_disable cpu_hotplug_enable __cpuhp_remove_state __cpuhp_setup_state __cpuhp_setup_state_cpuslocked __cpuhp_state_add_instance __cpuhp_state_remove_instance cpuhp_tasks_frozen cpu_hwcap_keys cpu_hwcaps cpuidle_get_cpu_driver cpuidle_governor_latency_req cpuidle_pause_and_lock cpuidle_register_governor cpuidle_resume_and_unlock cpumask_next cpumask_next_and cpu_number __cpu_online_mask cpu_pm_register_notifier __cpu_possible_mask cpu_scale cpus_read_lock cpus_read_unlock cpu_subsys cpu_topology crc32_le crypto_alloc_base crypto_alloc_shash crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg crypto_shash_digest crypto_shash_final crypto_shash_update _ctype dapm_pinctrl_event dapm_regulator_event deactivate_task debugfs_attr_read debugfs_attr_write debugfs_create_blob debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_file_size debugfs_create_symlink debugfs_create_u32 debugfs_create_x32 debugfs_create_x64 debugfs_remove debugfs_rename dec_zone_page_state default_llseek deferred_free delayed_work_timer_fn del_gendisk del_timer del_timer_sync desc_to_gpio destroy_workqueue _dev_alert dev_alloc_name __dev_change_net_namespace dev_close _dev_crit dev_driver_string _dev_emerg _dev_err dev_err_probe devfreq_add_device devfreq_add_governor devfreq_get_devfreq_by_phandle devfreq_monitor_resume devfreq_monitor_start devfreq_monitor_stop devfreq_monitor_suspend devfreq_recommended_opp devfreq_register_opp_notifier devfreq_remove_device devfreq_remove_governor devfreq_resume_device devfreq_suspend_device devfreq_unregister_opp_notifier devfreq_update_interval __dev_get_by_index dev_get_by_index dev_get_by_name dev_get_regmap device_add device_add_disk device_create device_create_bin_file device_create_file device_create_managed_software_node device_del device_destroy device_for_each_child device_get_child_node_count device_get_dma_attr device_get_next_child_node device_initialize device_init_wakeup device_link_add device_link_del device_property_present device_property_read_string_array device_property_read_u32_array device_register device_remove_file device_rename device_set_wakeup_enable device_show_bool device_show_int device_store_bool device_store_int device_unregister device_wakeup_enable _dev_info __dev_kfree_skb_any devm_add_action devm_backlight_device_register devm_backlight_device_unregister devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_clk_put devm_devfreq_register_notifier devm_devfreq_unregister_notifier __devm_drm_dev_alloc devm_drm_panel_bridge_add_typed devm_extcon_dev_allocate devm_extcon_dev_register devm_free_irq devm_gen_pool_create devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_optional devm_gpio_request_one devm_hwrng_register devm_i2c_new_dummy_device devm_iio_device_alloc __devm_iio_device_register devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_iounmap __devm_irq_alloc_descs devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup devm_krealloc devm_kstrdup devm_led_classdev_register_ext devm_mfd_add_devices __devm_of_phy_provider_register devm_phy_create devm_phy_get devm_phy_put devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_platform_ioremap_resource devm_platform_ioremap_resource_byname __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_regulator_register __devm_release_region __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_rtc_device_register devm_snd_dmaengine_pcm_register devm_snd_soc_register_card devm_snd_soc_register_component devm_thermal_zone_of_sensor_register devm_usb_get_phy_by_phandle _dev_notice dev_pm_opp_add dev_pm_opp_disable dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_ceil_by_volt dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_freq dev_pm_opp_get_opp_count dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_regulators dev_pm_opp_set_regulators dev_pm_qos_add_notifier dev_pm_qos_add_request dev_pm_qos_read_value dev_pm_qos_remove_request dev_pm_qos_update_request dev_queue_xmit devres_add __devres_alloc_node devres_free devres_release dev_set_name dev_vprintk_emit _dev_warn disable_irq disable_irq_nosync disk_end_io_acct disk_start_io_acct dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_begin_cpu_access_partial dma_buf_detach dma_buf_dynamic_attach dma_buf_end_cpu_access dma_buf_end_cpu_access_partial dma_buf_export dma_buf_fd dma_buf_get dma_buf_get_flags dma_buf_map_attachment dma_buf_mmap dma_buf_move_notify dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_pin dma_buf_put dma_buf_unmap_attachment dma_buf_unpin dma_buf_vmap dma_buf_vunmap dmaengine_unmap_put dma_fence_add_callback dma_fence_array_create dma_fence_chain_init dma_fence_chain_ops dma_fence_chain_walk dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_free dma_fence_get_status dma_fence_get_stub dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_wait_any_timeout dma_fence_wait_timeout dma_free_attrs dma_get_required_mask dma_get_slave_caps dma_get_slave_channel dma_heap_add dma_heap_buffer_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_heap_put dmam_alloc_attrs dma_map_page_attrs dma_map_resource dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_mmap_attrs dma_release_channel dma_request_chan dma_resv_add_excl_fence dma_resv_add_shared_fence dma_resv_get_fences dma_resv_reserve_shared dma_resv_test_signaled dma_resv_wait_timeout dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs __do_once_done __do_once_start do_SAK do_trace_netlink_extack double_rq_lock do_wait_intr down downgrade_write down_interruptible down_read down_read_killable down_read_trylock down_trylock down_write down_write_trylock d_path dql_completed dql_reset drain_workqueue driver_register driver_unregister drm_add_edid_modes drm_any_plane_has_format drm_aperture_remove_conflicting_pci_framebuffers drm_atomic_add_affected_connectors drm_atomic_add_affected_planes drm_atomic_bridge_chain_disable drm_atomic_commit drm_atomic_get_connector_state drm_atomic_get_crtc_state drm_atomic_get_plane_state drm_atomic_get_private_obj_state drm_atomic_helper_check drm_atomic_helper_check_modeset drm_atomic_helper_check_planes drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit drm_atomic_helper_commit_cleanup_done drm_atomic_helper_commit_duplicated_state drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_commit_tail __drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_destroy_state __drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_reset drm_atomic_helper_disable_plane drm_atomic_helper_fake_vblank drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_reset drm_atomic_helper_prepare_planes __drm_atomic_helper_private_obj_duplicate_state drm_atomic_helper_set_config drm_atomic_helper_setup_commit drm_atomic_helper_swap_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_dependencies drm_atomic_normalize_zpos drm_atomic_private_obj_fini drm_atomic_private_obj_init drm_atomic_set_crtc_for_plane drm_atomic_set_fb_for_plane drm_atomic_state_alloc drm_atomic_state_clear __drm_atomic_state_free drm_bridge_add drm_bridge_attach drm_bridge_chain_mode_set drm_bridge_remove drm_calc_timestamping_constants drm_compat_ioctl drm_connector_attach_dp_subconnector_property drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_init_with_ddc drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_connector_register drm_connector_unregister drm_connector_update_edid_property drm_crtc_add_crc_entry drm_crtc_arm_vblank_event drm_crtc_cleanup __drm_crtc_commit_free drm_crtc_enable_color_mgmt drm_crtc_handle_vblank drm_crtc_helper_set_config drm_crtc_helper_set_mode drm_crtc_init drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_count drm_crtc_vblank_get drm_crtc_vblank_helper_get_vblank_timestamp drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_put drm_crtc_wait_one_vblank drm_cvt_mode __drm_dbg drm_detect_hdmi_monitor drm_dev_alloc drm_dev_dbg drm_dev_enter drm_dev_exit drm_dev_printk drm_dev_put drm_dev_register drm_dev_unplug drm_dev_unregister drm_display_mode_to_videomode drm_dp_aux_init drm_dp_aux_register drm_dp_aux_unregister drm_dp_bw_code_to_link_rate drm_dp_channel_eq_ok drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_read_link_status drm_dp_dpcd_write drm_dp_get_adjust_request_pre_emphasis drm_dp_get_adjust_request_voltage drm_dp_link_rate_to_bw_code drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_dp_set_subconnector_property drm_edid_header_is_valid drm_edid_is_valid drm_edid_to_sad drm_edid_to_speaker_allocation drm_encoder_cleanup drm_encoder_init __drm_err drm_format_info drm_framebuffer_cleanup drm_framebuffer_init drm_framebuffer_unregister_private drm_gem_create_mmap_offset drm_gem_dmabuf_mmap drm_gem_dmabuf_release drm_gem_dmabuf_vmap drm_gem_dmabuf_vunmap drm_gem_fb_begin_cpu_access drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_handle_create drm_gem_mmap drm_gem_object_free drm_gem_object_lookup drm_gem_object_release drm_gem_prime_export drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_import_dev drm_gem_prime_mmap drm_gem_private_object_init drm_gem_vm_close drm_gem_vm_open drm_get_edid drm_get_format_info drm_handle_vblank drm_hdmi_avi_infoframe_from_display_mode drm_hdmi_infoframe_set_hdr_metadata drm_helper_connector_dpms drm_helper_disable_unused_functions drm_helper_force_disable_all drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_helper_resume_force_mode drm_ioctl drm_is_current_master drm_kms_helper_hotplug_event drm_kms_helper_is_poll_worker drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_match_cea_mode drm_mm_init drm_mm_insert_node_in_range drmm_mode_config_init drm_mm_print drm_mm_remove_node drm_mm_reserve_node drm_mm_takedown drm_mode_config_cleanup drm_mode_config_helper_resume drm_mode_config_helper_suspend drm_mode_config_reset drm_mode_convert_to_umode drm_mode_copy drm_mode_create_scaling_mode_property drm_mode_crtc_set_gamma_size drm_mode_debug_printmodeline drm_mode_destroy drm_mode_duplicate drm_mode_equal drm_mode_is_420_only drm_mode_match drm_mode_probed_add drm_modeset_acquire_fini drm_modeset_acquire_init drm_modeset_backoff drm_mode_set_crtcinfo drm_modeset_drop_locks drm_modeset_lock drm_modeset_lock_all drm_modeset_lock_all_ctx drm_mode_set_name drm_modeset_unlock drm_modeset_unlock_all drm_mode_sort drm_mode_vrefresh drm_object_attach_property drm_open drm_panel_add drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_init drm_panel_prepare drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_plane_create_alpha_property drm_plane_create_blend_mode_property drm_plane_create_rotation_property drm_plane_create_zpos_property drm_poll drm_prime_gem_destroy drm_prime_pages_to_sg drm_prime_sg_to_dma_addr_array drm_print_bits drm_printf __drm_printfn_info __drm_printfn_seq_file drm_property_blob_get drm_property_blob_put drm_property_create drm_property_create_bitmask drm_property_create_blob drm_property_create_bool drm_property_create_enum drm_property_create_range drm_property_create_signed_range drm_property_lookup_blob drm_property_replace_blob __drm_puts_seq_file drm_read drm_rect_clip_scaled drm_rect_intersect drm_release drm_rotation_simplify drm_set_preferred_mode drm_simple_encoder_init drm_syncobj_add_point drm_syncobj_create drm_syncobj_find drm_syncobj_find_fence drm_syncobj_free drm_syncobj_get_fd drm_syncobj_get_handle drm_syncobj_replace_fence drm_universal_plane_init drm_vblank_init drm_wait_one_vblank drm_writeback_cleanup_job drm_writeback_connector_init drm_writeback_queue_job drm_writeback_signal_completion dump_backtrace dump_stack dw_handle_msi_irq dw_pcie_host_init dw_pcie_own_conf_map_bus dw_pcie_read dw_pcie_setup_rc dw_pcie_write __dynamic_pr_debug enable_irq ether_setup eth_type_trans event_triggers_call extcon_get_state extcon_set_state_sync fb_mode_option __fdget fd_install fget _find_first_bit _find_first_zero_bit find_get_pid _find_next_bit find_task_by_vpid __find_vma find_vpid finish_wait firmware_request_nowarn flush_dcache_page flush_delayed_work flush_work flush_workqueue fput free_irq free_netdev __free_pages free_pages free_percpu freezing_slow_path freq_qos_add_request freq_qos_remove_request freq_qos_update_request fsync_bdev fwnode_get_name fwnode_property_read_string fwnode_property_read_u32_array gcd generic_file_llseek generic_handle_domain_irq generic_handle_irq genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_create gen_pool_destroy gen_pool_first_fit_align gen_pool_free_owner gen_pool_has_addr gen_pool_size get_cpu_device get_cpu_idle_time get_device __get_free_pages get_net_ns_by_fd get_net_ns_by_pid get_options get_pid_task get_random_bytes get_random_u32 __get_task_comm get_task_mm get_thermal_instance get_unused_fd_flags get_user_pages get_user_pages_fast get_zeroed_page gic_nonsecure_priorities gpiochip_add_data_with_key gpiochip_add_pin_range gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_lock_as_irq gpiochip_remove gpiochip_unlock_as_irq gpiod_cansleep gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_raw_value gpiod_get_raw_value_cansleep gpiod_get_value_cansleep gpiod_set_raw_value gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_request_one gpio_to_desc gserial_alloc_line gserial_connect gserial_disconnect handle_edge_irq handle_level_irq handle_nested_irq handle_simple_irq handle_sysrq hdmi_avi_infoframe_pack hdmi_drm_infoframe_pack_only hex_dump_to_buffer housekeeping_cpumask hrtimer_active hrtimer_cancel hrtimer_forward __hrtimer_get_remaining hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel i2c_adapter_type i2c_add_adapter i2c_add_numbered_adapter i2c_bit_add_bus i2c_bit_add_numbered_bus i2c_bus_type i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_get_adapter i2c_new_client_device i2c_new_dummy_device i2c_put_adapter i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_read_i2c_block_data i2c_smbus_read_word_data i2c_smbus_write_byte_data i2c_smbus_write_i2c_block_data i2c_smbus_write_word_data i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client i3c_device_do_priv_xfers i3c_generic_ibi_alloc_pool i3c_generic_ibi_free_pool i3c_generic_ibi_get_free_slot i3c_generic_ibi_recycle_slot i3c_master_add_i3c_dev_locked i3c_master_defslvs_locked i3c_master_disec_locked i3c_master_do_daa i3c_master_enec_locked i3c_master_entdaa_locked i3c_master_get_free_addr i3c_master_queue_ibi i3c_master_register i3c_master_set_info i3c_master_unregister ida_alloc_range ida_destroy ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_remove idr_replace ignore_console_lock_warning iio_device_alloc iio_device_free __iio_device_register iio_device_unregister in4_pton in6_pton inc_zone_page_state inet_csk_get_port init_dummy_netdev init_net init_pseudo __init_rwsem __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_close_device input_event input_ff_create input_free_device input_mt_destroy_slots input_mt_init_slots input_mt_report_slot_state input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_set_capability input_unregister_device input_unregister_handle int_pow int_sqrt iomem_resource iommu_alloc_resv_region iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unlink iommu_device_unregister iommu_dma_enable_best_fit_algo iommu_fwspec_add_ids iommu_fwspec_free iommu_get_dma_cookie iommu_get_domain_for_dev iommu_group_alloc iommu_group_for_each_dev iommu_group_get iommu_group_get_iommudata iommu_group_set_iommudata iommu_group_set_name iommu_iova_to_phys iommu_map iommu_map_sg iommu_put_dma_cookie iommu_register_device_fault_handler iommu_report_device_fault iommu_unmap iommu_unregister_device_fault_handler __ioremap iounmap ip_send_check iput __irq_alloc_descs irq_create_mapping_affinity __irq_domain_add irq_domain_get_irq_data irq_domain_remove irq_domain_set_info irq_domain_xlate_onetwocell irq_domain_xlate_twocell irq_force_affinity irq_get_irqchip_state irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity_hint irq_set_chained_handler_and_data irq_set_chip irq_set_chip_and_handler_name irq_set_chip_data __irq_set_handler irq_set_handler_data irq_set_irqchip_state irq_set_irq_wake irq_to_desc irq_work_queue irq_work_sync is_console_locked is_dma_buf_file is_vmalloc_addr iterate_fd jiffies jiffies_64_to_clock_t jiffies64_to_msecs jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_kobj kern_mount kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_out __kfifo_to_user kfree kfree_const kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_create_usercopy kmem_cache_destroy kmem_cache_free kmemdup kobject_add kobject_create_and_add kobject_get kobject_init kobject_init_and_add kobject_put kobject_uevent kobject_uevent_env krealloc kstat kstrdup kstrdup_const kstrndup kstrtobool kstrtobool_from_user kstrtoint kstrtoint_from_user kstrtol_from_user kstrtoll kstrtou16 kstrtou8 kstrtouint kstrtouint_from_user kstrtoull kstrtoull_from_user kthread_bind kthread_bind_mask kthread_cancel_delayed_work_sync kthread_cancel_work_sync kthread_create_on_node kthread_create_worker kthread_delayed_work_timer_fn kthread_destroy_worker kthread_flush_work __kthread_init_worker kthread_mod_delayed_work kthread_park kthread_parkme kthread_queue_work kthread_should_park kthread_should_stop kthread_stop kthread_unpark kthread_worker_fn ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_ts64 ktime_get_with_offset kvasprintf kvfree kvfree_call_rcu kvmalloc_node linkwatch_fire_event __list_add_valid __list_del_entry_valid list_sort llist_add_batch __local_bh_enable_ip __lock_page loops_per_jiffy match_string memchr memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user mem_encrypt_active memmove memory_read_from_buffer memparse mem_section memset memset64 __memset_io memstart_addr mfd_add_devices mfd_remove_devices mipi_dsi_attach mipi_dsi_compression_mode mipi_dsi_create_packet mipi_dsi_dcs_get_display_brightness mipi_dsi_dcs_read mipi_dsi_dcs_set_column_address mipi_dsi_dcs_set_display_brightness mipi_dsi_dcs_set_page_address mipi_dsi_dcs_write_buffer mipi_dsi_detach mipi_dsi_device_register_full mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_host_register mipi_dsi_host_unregister mipi_dsi_packet_format_is_long mipi_dsi_picture_parameter_set misc_deregister misc_register mmc_add_host mmc_alloc_host mmc_can_gpio_cd mmc_detect_change mmc_free_host mmc_gpio_get_cd mmc_gpio_get_ro mmc_of_parse mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_remove_host mmc_request_done mmc_wait_for_req __mmdrop mmput mmu_notifier_synchronize mod_delayed_work_on mod_timer module_layout module_put __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock names_cachep __napi_alloc_skb napi_build_skb napi_complete_done napi_disable napi_enable napi_gro_receive __napi_schedule napi_schedule_prep __netdev_alloc_skb netdev_err netif_carrier_off netif_carrier_on netif_napi_add __netif_napi_del netif_receive_skb netif_rx_ni netif_schedule_queue netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations nla_find nla_memcpy __nla_parse nla_put nla_put_64bit nla_put_nohdr nla_reserve __nla_validate no_llseek nonseekable_open noop_llseek nr_cpu_ids nr_irqs nsecs_to_jiffies nsec_to_clock_t ns_to_kernel_old_timeval ns_to_timespec64 __num_online_cpus of_add_property of_address_to_resource of_alias_get_highest_id of_alias_get_id of_clk_add_provider of_clk_get of_clk_get_by_name of_clk_src_onecell_get of_count_phandle_with_args of_cpu_node_to_id of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_controller_free of_dma_controller_register of_dma_is_coherent of_drm_find_bridge of_drm_find_panel of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_phandle of_find_node_by_type of_find_node_opts_by_path of_find_node_with_property of_find_property of_fwnode_ops of_genpd_add_provider_simple of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_property of_get_regulator_init_data of_get_videomode of_iomap of_irq_get_byname of_irq_parse_one of_machine_is_compatible of_match_device of_match_node of_n_addr_cells of_node_name_eq of_n_size_cells of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_pwm_xlate_with_flags of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_root of_thermal_get_ntrips of_usb_host_tpl_support oops_in_progress page_endio page_mapping panic panic_notifier_list param_array_ops param_get_charp param_ops_bint param_ops_bool param_ops_byte param_ops_charp param_ops_hexint param_ops_int param_ops_long param_ops_string param_ops_uint param_ops_ullong param_ops_ulong param_set_charp param_set_uint param_set_ulong pci_alloc_irq_vectors_affinity pci_assign_resource pci_assign_unassigned_bus_resources pci_bus_resource_n pci_bus_type pci_clear_master pci_disable_device pci_enable_atomic_ops_to_root pci_enable_device pci_enable_pcie_error_reporting pci_enable_wake pci_find_bus pci_find_ext_capability pci_free_irq_vectors pci_generic_config_read pci_generic_config_write pci_get_device pci_irq_vector pci_load_saved_state pci_map_rom pcim_enable_device pcim_iomap_regions pcim_iomap_table pci_msix_vec_count pci_read_config_dword pci_read_config_word pci_rebar_get_possible_sizes __pci_register_driver pci_release_regions pci_release_resource pci_rescan_bus pci_reset_function pci_resize_resource pci_restore_state pci_save_state pci_set_master pci_set_power_state pci_store_saved_state pci_unmap_rom pci_unregister_driver pci_wait_for_pending_transaction pci_wake_from_d3 pci_write_config_dword pci_write_config_word PDE_DATA __per_cpu_offset perf_event_update_userpage perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_configure phy_init phy_power_off phy_power_on phy_reset pid_task pinconf_generic_dt_node_to_map pinctrl_add_gpio_range pinctrl_dev_get_drvdata pinctrl_force_sleep pinctrl_get pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_put pinctrl_remove_gpio_range pinctrl_select_state pinctrl_utils_free_map pin_get_name pin_user_pages pin_user_pages_fast pin_user_pages_remote platform_bus_type platform_device_add platform_device_add_resources platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_find_device_by_driver platform_get_irq platform_get_irq_byname platform_get_resource platform_get_resource_byname __platform_register_drivers pm_genpd_add_subdomain pm_genpd_init pm_power_off __pm_relax pm_relax pm_runtime_allow pm_runtime_barrier __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend pm_runtime_get_if_active __pm_runtime_idle pm_runtime_irq_safe pm_runtime_no_callbacks __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_suspend_global_flags pm_wakeup_dev_event pm_wakeup_ws_event power_supply_changed power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_put power_supply_register power_supply_set_property power_supply_unregister preempt_schedule preempt_schedule_notrace prepare_to_wait_event print_hex_dump _printk __printk_ratelimit printk_timed_ratelimit proc_create proc_create_data proc_create_seq_private proc_mkdir proc_remove proc_set_size proc_set_user proc_symlink __pskb_pull_tail put_device __put_net __put_page put_pid __put_task_struct put_unused_fd pwmchip_add pwmchip_remove pwm_get_chip_data pwm_set_chip_data queue_delayed_work_on queue_work_on ___ratelimit raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave raw_spin_rq_lock_nested raw_spin_rq_unlock _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irqsave _raw_write_trylock _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irqrestore rb_erase __rb_erase_color rb_first rb_first_postorder __rb_insert_augmented rb_insert_color rb_next rb_next_postorder rb_prev rb_replace_node rcu_barrier __rcu_read_lock __rcu_read_unlock rdev_get_drvdata rdev_get_id reciprocal_value refcount_warn_saturate refresh_frequency_limits __refrigerator regcache_cache_bypass regcache_cache_only regcache_mark_dirty regcache_sync __register_blkdev __register_chrdev register_chrdev_region register_console register_die_notifier register_inet6addr_notifier register_inetaddr_notifier register_netdev register_netdevice register_netdevice_notifier register_oom_notifier register_pernet_device register_pm_notifier register_reboot_notifier register_restart_handler register_shrinker register_syscore_ops regmap_async_complete regmap_bulk_read regmap_bulk_write regmap_multi_reg_write regmap_multi_reg_write_bypassed regmap_raw_read regmap_raw_write regmap_raw_write_async regmap_read regmap_register_patch regmap_reinit_cache regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_disable regulator_enable regulator_force_disable regulator_get regulator_get_optional regulator_get_voltage regulator_is_enabled regulator_list_voltage_linear regulator_map_voltage_linear regulator_put regulator_set_mode regulator_set_voltage release_firmware release_pages __release_region remap_pfn_range remap_vmalloc_range remove_cpu remove_proc_entry request_firmware request_firmware_direct request_firmware_into_buf request_firmware_nowait __request_module __request_region request_threaded_irq resched_curr reset_control_assert reset_control_deassert return_address rfkill_alloc rfkill_blocked rfkill_destroy rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister rps_needed rtc_class_close rtc_class_open rtc_read_time rtc_set_time rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_lock rtnl_unlock runqueues sched_clock sched_feat_keys sched_feat_names sched_set_fifo_low sched_setscheduler sched_setscheduler_nocheck sched_uclamp_used schedule schedule_timeout schedule_timeout_interruptible scnprintf scsi_block_when_processing_errors scsi_dma_unmap scsi_eh_ready_devs __scsi_execute scsi_print_sense_hdr sdev_prefix_printk sdio_signal_irq seq_lseek seq_open __seq_open_private seq_printf seq_putc seq_puts seq_read seq_release seq_release_private seq_write set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_next_entity set_normalized_timespec64 set_page_dirty_lock __SetPageMovable set_task_cpu sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_miter_next sg_miter_start sg_miter_stop sg_nents_for_len sg_next __sg_page_iter_next __sg_page_iter_start si_meminfo simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtol simple_strtoul simple_write_to_buffer single_open single_open_size single_release skb_add_rx_frag skb_clone skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_dequeue_tail skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_trim smp_call_function smp_call_function_any smp_call_function_many smp_call_function_single smp_call_function_single_async smp_call_on_cpu snd_compr_stop_error snd_ctl_add snd_ctl_new1 snd_ctl_notify snd_device_free snd_dma_alloc_pages snd_dmaengine_pcm_prepare_slave_config snd_dma_free_pages snd_hwdep_new snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_list snd_pcm_lib_free_pages snd_pcm_lib_ioctl snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_free_for_all snd_pcm_lib_preallocate_pages snd_pcm_period_elapsed snd_pcm_rate_range_to_bits snd_soc_add_component_controls snd_soc_bytes_info_ext snd_soc_bytes_tlv_callback snd_soc_card_get_kcontrol snd_soc_card_jack_new snd_soc_component_async_complete snd_soc_component_disable_pin snd_soc_component_force_enable_pin snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_set_pll snd_soc_component_set_sysclk snd_soc_component_update_bits snd_soc_component_update_bits_async snd_soc_component_write snd_soc_daifmt_clock_provider_from_bitmap snd_soc_daifmt_parse_clock_provider_raw snd_soc_daifmt_parse_format snd_soc_dai_set_bclk_ratio snd_soc_dai_set_channel_map snd_soc_dai_set_sysclk snd_soc_dai_set_tdm_slot snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_enable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_pin_status snd_soc_dapm_get_pin_switch snd_soc_dapm_get_volsw snd_soc_dapm_ignore_suspend snd_soc_dapm_info_pin_switch snd_soc_dapm_kcontrol_dapm snd_soc_dapm_mixer_update_power snd_soc_dapm_new_control snd_soc_dapm_new_controls snd_soc_dapm_put_enum_double snd_soc_dapm_put_pin_switch snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_dapm_weak_routes snd_soc_find_dai snd_soc_find_dai_with_mutex snd_soc_get_enum_double snd_soc_get_pcm_runtime snd_soc_get_volsw snd_soc_get_volsw_sx snd_soc_get_xr_sx snd_soc_info_enum_double snd_soc_info_volsw snd_soc_info_volsw_sx snd_soc_info_xr_sx snd_soc_jack_report snd_soc_lookup_component snd_soc_new_compress snd_soc_of_get_dai_link_codecs snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_put_dai_link_codecs snd_soc_params_to_bclk snd_soc_pm_ops snd_soc_put_enum_double snd_soc_put_volsw snd_soc_put_volsw_sx snd_soc_put_xr_sx snd_soc_register_card snd_soc_register_component snd_soc_set_runtime_hwparams snd_soc_tplg_component_load snd_soc_tplg_component_remove snd_soc_tplg_widget_bind_event snd_soc_unregister_card snd_soc_unregister_component snprintf soc_device_register __sock_create sock_release softnet_data sort __spi_alloc_controller spi_controller_resume spi_controller_suspend spi_delay_exec spi_finalize_current_message spi_register_controller __spi_register_driver spi_setup spi_sync spi_unregister_controller split_page sprintf sprint_symbol srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister sscanf __stack_chk_fail stack_trace_save_tsk start_backtrace static_key_disable static_key_slow_dec static_key_slow_inc stop_machine stop_one_cpu_nowait stpcpy strcasecmp strcat strchr strcmp strcpy strcspn stream_open strim strlcat strlcpy strlen strncasecmp strncat strnchr strncmp strncpy strnlen strnstr strpbrk strrchr strreplace strscpy strsep strstr subsys_system_register __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu syscon_regmap_lookup_by_phandle sysctl_sched_features sysfs_add_file_to_group sysfs_create_bin_file sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_groups sysfs_create_link sysfs_emit __sysfs_match_string sysfs_notify sysfs_remove_bin_file sysfs_remove_file_from_group sysfs_remove_file_ns sysfs_remove_files sysfs_remove_group sysfs_remove_link sysfs_streq sysrq_mask system_32bit_el0_cpumask system_freezable_wq system_freezing_cnt system_highpri_wq system_long_wq system_power_efficient_wq system_state system_unbound_wq system_wq sys_tz __tasklet_hi_schedule tasklet_init tasklet_kill __tasklet_schedule tasklet_setup __task_pid_nr_ns task_rq_lock tcp_register_congestion_control tcp_reno_cong_avoid tcp_reno_ssthresh tcp_reno_undo_cwnd tcp_slow_start tcp_unregister_congestion_control thermal_cdev_update thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_zone_device_disable thermal_zone_device_enable thermal_zone_device_update thermal_zone_get_temp thermal_zone_get_zone_by_name thermal_zone_of_sensor_register thermal_zone_of_sensor_unregister tick_nohz_get_sleep_length time64_to_tm topology_set_thermal_pressure _totalram_pages touch_softlockup_watchdog trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_can_migrate_task __traceiter_android_rvh_check_preempt_wakeup __traceiter_android_rvh_cpu_cgroup_attach __traceiter_android_rvh_cpu_cgroup_can_attach __traceiter_android_rvh_cpufreq_transition __traceiter_android_rvh_dequeue_task __traceiter_android_rvh_do_sched_yield __traceiter_android_rvh_enqueue_task __traceiter_android_rvh_find_busiest_queue __traceiter_android_rvh_find_lowest_rq __traceiter_android_rvh_find_new_ilb __traceiter_android_rvh_flush_task __traceiter_android_rvh_gic_v3_set_affinity __traceiter_android_rvh_post_init_entity_util_avg __traceiter_android_rvh_replace_next_task_fair __traceiter_android_rvh_sched_fork_init __traceiter_android_rvh_sched_newidle_balance __traceiter_android_rvh_sched_nohz_balancer_kick __traceiter_android_rvh_sched_rebalance_domains __traceiter_android_rvh_schedule __traceiter_android_rvh_select_fallback_rq __traceiter_android_rvh_select_task_rq_fair __traceiter_android_rvh_select_task_rq_rt __traceiter_android_rvh_set_task_cpu __traceiter_android_rvh_tick_entry __traceiter_android_rvh_update_misfit_status __traceiter_android_rvh_wake_up_new_task __traceiter_android_vh_binder_restore_priority __traceiter_android_vh_binder_set_priority __traceiter_android_vh_binder_wakeup_ilocked __traceiter_android_vh_cpu_idle_enter __traceiter_android_vh_cpu_idle_exit __traceiter_android_vh_do_wake_up_sync __traceiter_android_vh_gic_set_affinity __traceiter_android_vh_ipi_stop __traceiter_android_vh_logbuf __traceiter_android_vh_logbuf_pr_cont __traceiter_android_vh_scheduler_tick __traceiter_android_vh_set_wake_flags __traceiter_android_vh_show_mem __traceiter_android_vh_ufs_check_int_errors __traceiter_android_vh_ufs_compl_command __traceiter_binder_transaction_received __traceiter_clock_set_rate __traceiter_device_pm_callback_end __traceiter_device_pm_callback_start __traceiter_dwc3_ep_queue __traceiter_dwc3_readl __traceiter_dwc3_writel __traceiter_gpu_mem_total __traceiter_hrtimer_expire_entry __traceiter_hrtimer_expire_exit __traceiter_ipi_entry __traceiter_ipi_exit __traceiter_ipi_raise __traceiter_irq_handler_entry __traceiter_irq_handler_exit __traceiter_pelt_cfs_tp __traceiter_pelt_dl_tp __traceiter_pelt_irq_tp __traceiter_pelt_rt_tp __traceiter_pelt_se_tp __traceiter_rwmmio_post_read __traceiter_rwmmio_read __traceiter_rwmmio_write __traceiter_sched_overutilized_tp __traceiter_sched_switch __traceiter_suspend_resume __traceiter_workqueue_execute_end __traceiter_workqueue_execute_start trace_output_call __tracepoint_android_rvh_can_migrate_task __tracepoint_android_rvh_check_preempt_wakeup __tracepoint_android_rvh_cpu_cgroup_attach __tracepoint_android_rvh_cpu_cgroup_can_attach __tracepoint_android_rvh_cpufreq_transition __tracepoint_android_rvh_dequeue_task __tracepoint_android_rvh_do_sched_yield __tracepoint_android_rvh_enqueue_task __tracepoint_android_rvh_find_busiest_queue __tracepoint_android_rvh_find_lowest_rq __tracepoint_android_rvh_find_new_ilb __tracepoint_android_rvh_flush_task __tracepoint_android_rvh_gic_v3_set_affinity __tracepoint_android_rvh_post_init_entity_util_avg __tracepoint_android_rvh_replace_next_task_fair __tracepoint_android_rvh_sched_fork_init __tracepoint_android_rvh_sched_newidle_balance __tracepoint_android_rvh_sched_nohz_balancer_kick __tracepoint_android_rvh_sched_rebalance_domains __tracepoint_android_rvh_schedule __tracepoint_android_rvh_select_fallback_rq __tracepoint_android_rvh_select_task_rq_fair __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_set_task_cpu __tracepoint_android_rvh_tick_entry __tracepoint_android_rvh_update_misfit_status __tracepoint_android_rvh_wake_up_new_task __tracepoint_android_vh_binder_restore_priority __tracepoint_android_vh_binder_set_priority __tracepoint_android_vh_binder_wakeup_ilocked __tracepoint_android_vh_cpu_idle_enter __tracepoint_android_vh_cpu_idle_exit __tracepoint_android_vh_do_wake_up_sync __tracepoint_android_vh_gic_set_affinity __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_logbuf __tracepoint_android_vh_logbuf_pr_cont __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_set_wake_flags __tracepoint_android_vh_show_mem __tracepoint_android_vh_ufs_check_int_errors __tracepoint_android_vh_ufs_compl_command __tracepoint_binder_transaction_received __tracepoint_clock_set_rate __tracepoint_device_pm_callback_end __tracepoint_device_pm_callback_start __tracepoint_dwc3_ep_queue __tracepoint_dwc3_readl __tracepoint_dwc3_writel __tracepoint_gpu_mem_total __tracepoint_hrtimer_expire_entry __tracepoint_hrtimer_expire_exit __tracepoint_ipi_entry __tracepoint_ipi_exit __tracepoint_ipi_raise __tracepoint_irq_handler_entry __tracepoint_irq_handler_exit __tracepoint_pelt_cfs_tp __tracepoint_pelt_dl_tp __tracepoint_pelt_irq_tp __tracepoint_pelt_rt_tp __tracepoint_pelt_se_tp tracepoint_probe_register tracepoint_probe_unregister __tracepoint_rwmmio_post_read __tracepoint_rwmmio_read __tracepoint_rwmmio_write __tracepoint_sched_overutilized_tp __tracepoint_sched_switch __tracepoint_suspend_resume __tracepoint_workqueue_execute_end __tracepoint_workqueue_execute_start trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq trace_raw_output_prep try_module_get ttm_bo_bulk_move_lru_tail ttm_bo_eviction_valuable ttm_bo_init_reserved ttm_bo_kmap ttm_bo_kunmap ttm_bo_lock_delayed_workqueue ttm_bo_mem_space ttm_bo_mmap_obj ttm_bo_move_accel_cleanup ttm_bo_move_memcpy ttm_bo_move_to_lru_tail ttm_bo_put ttm_bo_unlock_delayed_workqueue ttm_bo_validate ttm_bo_vm_access ttm_bo_vmap ttm_bo_vm_close ttm_bo_vm_dummy_page ttm_bo_vm_fault_reserved ttm_bo_vm_open ttm_bo_vm_reserve ttm_bo_vunmap ttm_bo_wait ttm_device_fini ttm_device_init ttm_eu_backoff_reservation ttm_eu_fence_buffer_objects ttm_eu_reserve_buffers ttm_glob ttm_pool_alloc ttm_pool_debugfs ttm_pool_free ttm_range_man_fini ttm_range_man_init ttm_resource_free ttm_resource_init ttm_resource_manager_evict_all ttm_resource_manager_init ttm_sg_tt_init ttm_tt_destroy_common ttm_tt_fini tty_flip_buffer_push tty_insert_flip_string_fixed_flag tty_kref_put tty_port_tty_get typec_get_drvdata typec_register_partner typec_register_port typec_set_data_role typec_set_pwr_opmode typec_set_pwr_role typec_unregister_partner typec_unregister_port uart_add_one_port uart_console_write uart_get_baud_rate uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort uclamp_eff_value __udelay ufshcd_auto_hibern8_update ufshcd_dme_set_attr ufshcd_hba_stop ufshcd_hold ufshcd_make_hba_operational ufshcd_pltfrm_init ufshcd_query_flag_retry ufshcd_release ufshcd_remove ufshcd_resume_complete ufshcd_shutdown ufshcd_suspend_prepare ufshcd_system_resume ufshcd_system_suspend unlock_page unmap_mapping_range unpin_user_page unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdev unregister_netdevice_notifier unregister_netdevice_queue unregister_oom_notifier unregister_pernet_device unregister_pm_notifier unregister_reboot_notifier unregister_shrinker up update_devfreq update_rq_clock up_read up_write usb_add_function usb_add_hcd usb_choose_configuration usb_copy_descriptors __usb_create_hcd usb_disabled usb_enable_autosuspend usb_ep_alloc_request usb_ep_autoconfig usb_ep_free_request usb_ep_queue usb_function_register usb_function_unregister usb_gadget_set_state usb_hcd_is_primary_hcd usb_hub_find_child usb_interface_id usb_otg_state_string usb_put_function_instance usb_put_hcd usb_register_notify usb_remove_hcd usb_speed_string usb_string_id usb_unregister_notify __usecs_to_jiffies usleep_range_state v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup v4l2_ctrl_log_status v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_poll v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev v4l2_device_set_name v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_is_singular v4l2_fh_open v4l2_fh_release v4l2_i2c_subdev_init v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_dqbuf v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_curr_priv v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_dqbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_mmap v4l2_m2m_next_buf v4l2_m2m_poll v4l2_m2m_qbuf v4l2_m2m_querybuf v4l2_m2m_release v4l2_m2m_reqbufs v4l2_m2m_streamoff v4l2_m2m_streamon v4l2_m2m_try_schedule v4l2_match_dv_timings v4l2_subdev_call_wrappers v4l2_subdev_init v4l_bound_align_image vabits_actual vb2_buffer_done vb2_dma_sg_memops vb2_dqbuf vb2_mmap vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_poll vb2_prepare_buf vb2_qbuf vb2_querybuf vb2_queue_init vb2_queue_release vb2_reqbufs vb2_streamoff vb2_streamon vb2_wait_for_all_buffers verify_pkcs7_signature vfree video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 __video_register_device video_unregister_device vmalloc vmalloc_to_page vmalloc_to_pfn vmalloc_user vmap vmf_insert_pfn_prot vm_get_page_prot vm_iomap_memory vprintk_emit vscnprintf vsnprintf vsprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_killable wait_for_completion_timeout __wake_up wake_up_if_idle __wake_up_locked __wake_up_locked_key wake_up_process wakeup_source_add wakeup_source_register wakeup_source_remove wakeup_source_unregister __wake_up_sync __wake_up_sync_key __warn_printk watchdog_init_timeout watchdog_register_device watchdog_set_restart_priority watchdog_unregister_device wireless_nlevent_flush work_busy ww_mutex_lock ww_mutex_lock_interruptible ww_mutex_unlock xa_destroy __xa_erase xa_load __xa_store xhci_gen_setup xhci_init_driver xhci_resume xhci_run xhci_suspend zs_compact zs_create_pool zs_destroy_pool zs_free zs_get_total_pages zs_huge_class_size zs_malloc zs_map_object zs_pool_stats zs_unmap_object [abi_symbol_list] add_wait_queue alloc_anon_inode alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages __alloc_percpu __alloc_skb alloc_workqueue amba_driver_register amba_driver_unregister android_debug_symbol anon_inode_getfd anon_inode_getfile __arch_clear_user __arch_copy_from_user __arch_copy_to_user arch_timer_read_counter arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_smc atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function backlight_device_register backlight_device_unregister bio_endio bio_end_io_acct_remapped bio_start_io_acct __bitmap_and __bitmap_andnot __bitmap_clear __bitmap_complement bitmap_find_free_region bitmap_print_to_pagebuf bitmap_release_region __bitmap_set __bitmap_weight __blk_alloc_disk blk_cleanup_disk blk_execute_rq blk_get_request blk_ksm_init_passthrough __blk_mq_alloc_disk blk_mq_alloc_tag_set blk_mq_complete_request blk_mq_end_request blk_mq_free_tag_set blk_mq_quiesce_queue blk_mq_start_request blk_mq_start_stopped_hw_queues blk_mq_stop_hw_queue blk_mq_unquiesce_queue blk_mq_virtio_map_queues blk_put_request blk_queue_alignment_offset blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_discard_segments blk_queue_max_hw_sectors blk_queue_max_segments blk_queue_max_segment_size blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_queue_write_cache blk_rq_map_kern __blk_rq_map_sg blk_status_to_errno blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_dispatcher_xdp_func bpf_master_redirect_enabled_key bpf_prog_add bpf_prog_put bpf_prog_sub bpf_stats_enabled_key bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run9 bpf_warn_invalid_xdp_action build_skb bus_find_device bus_register_notifier bus_set_iommu bus_unregister_notifier cache_line_size call_netdevice_notifiers call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init cec_allocate_adapter cec_delete_adapter cec_received_msg_ts cec_register_adapter cec_s_phys_addr cec_s_phys_addr_from_edid cec_transmit_attempt_done_ts cec_transmit_done_ts cec_unregister_adapter __cfi_slowpath_diag __check_object_size __class_create class_destroy __class_register class_unregister clear_page __ClearPageMovable clk_disable clk_enable clk_gate_ops clk_get __clk_get_hw __clk_get_name clk_get_rate clk_hw_get_parent clk_hw_register_composite clk_hw_unregister __clk_is_enabled clk_mux_ops clk_prepare clk_put clk_register clk_register_clkdev clk_register_fixed_factor clk_register_fixed_rate clk_set_parent clk_set_rate clk_unprepare clk_unregister cma_alloc cma_release complete complete_all complete_and_exit component_add component_bind_all component_del component_master_add_with_match component_master_del component_unbind_all console_trylock console_unlock __const_udelay consume_skb contig_page_data copy_from_user_nofault cpu_bit_bitmap __cpuhp_remove_state __cpuhp_setup_state __cpuhp_setup_state_cpuslocked __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpumask_next cpumask_next_wrap cpu_number __cpu_online_mask cpu_pm_register_notifier cpu_pm_unregister_notifier __cpu_possible_mask __cpu_present_mask cpus_read_lock cpus_read_unlock crc32_le crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg __crypto_memneq _ctype current_work debugfs_attr_read debugfs_attr_write debugfs_create_blob debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_file_size debugfs_create_u32 debugfs_create_x32 debugfs_lookup debugfs_remove dec_zone_page_state default_llseek default_wake_function deferred_free delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue dev_close _dev_crit dev_driver_string _dev_emerg _dev_err dev_err_probe dev_get_stats device_add_disk device_create device_create_file device_create_managed_software_node device_destroy device_for_each_child device_get_match_data device_initialize device_init_wakeup device_link_add device_link_del device_property_present device_property_read_u8_array device_register device_remove_file device_rename device_set_wakeup_capable device_set_wakeup_enable device_unregister _dev_info __dev_kfree_skb_any devm_add_action devm_clk_get devm_clk_get_optional devm_clk_put dev_mc_sync_multiple dev_mc_unsync __devm_drm_dev_alloc devm_free_irq devm_gen_pool_create devm_get_free_pages devm_gpiochip_add_data_with_key devm_gpiod_get_optional devm_gpio_request_one devm_i2c_new_dummy_device devm_ioremap devm_ioremap_resource devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup __devm_of_phy_provider_register devm_phy_create devm_phy_get devm_pinctrl_get devm_pinctrl_register devm_platform_ioremap_resource __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_rtc_device_register devm_snd_dmaengine_pcm_register devm_snd_soc_register_card devm_snd_soc_register_component devm_thermal_zone_of_sensor_register _dev_notice dev_open dev_pm_opp_find_freq_ceil dev_pm_opp_of_add_table dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_regulators dev_pm_opp_set_regulators _dev_printk dev_queue_xmit devres_add __devres_alloc_node devres_free devres_release dev_set_mtu dev_set_name dev_uc_sync_multiple dev_uc_unsync _dev_warn disable_irq disable_irq_nosync disk_end_io_acct disk_start_io_acct display_timings_release dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_dynamic_attach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dma_buf_move_notify dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_pin dma_buf_put dma_buf_unmap_attachment dma_buf_unpin dma_buf_vmap dma_buf_vunmap dmaengine_get_unmap_data dmaengine_unmap_put dma_fence_add_callback dma_fence_array_create dma_fence_chain_init dma_fence_chain_ops dma_fence_chain_walk dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_get_status dma_fence_get_stub dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_wait_any_timeout dma_fence_wait_timeout dma_free_attrs dma_get_required_mask dma_get_slave_caps dma_get_slave_channel dma_heap_add dma_heap_buffer_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_heap_put dma_map_page_attrs dma_map_resource dma_map_sgtable dma_mmap_attrs dma_release_channel dma_request_chan __dma_request_channel dma_resv_add_excl_fence dma_resv_add_shared_fence dma_resv_get_fences dma_resv_reserve_shared dma_resv_test_signaled dma_resv_wait_timeout dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_sync_wait dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs do_SAK do_trace_netlink_extack do_wait_intr down downgrade_write down_interruptible down_read down_read_killable down_read_trylock down_trylock down_write down_write_trylock dql_completed dql_reset driver_unregister drm_add_edid_modes drm_add_modes_noedid drm_any_plane_has_format drm_aperture_remove_conflicting_pci_framebuffers drm_atomic_bridge_chain_enable drm_atomic_bridge_chain_pre_enable drm_atomic_get_crtc_state drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_planes drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_reset drm_atomic_helper_disable_plane drm_atomic_helper_disable_planes_on_crtc drm_atomic_helper_fake_vblank drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_duplicate_state __drm_atomic_helper_plane_reset drm_atomic_helper_plane_reset drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_update_plane drm_atomic_helper_wait_for_vblanks drm_bridge_add drm_bridge_attach drm_bridge_hpd_notify drm_bridge_remove drm_calc_timestamping_constants drm_compat_ioctl drm_connector_attach_dp_subconnector_property drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_init_with_ddc drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_connector_register drm_connector_set_path_property drm_connector_unregister drm_connector_update_edid_property drm_crtc_arm_vblank_event drm_crtc_cleanup __drm_crtc_commit_free drm_crtc_handle_vblank drm_crtc_helper_set_config drm_crtc_helper_set_mode drm_crtc_init drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_count drm_crtc_vblank_get drm_crtc_vblank_helper_get_vblank_timestamp drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_put drm_crtc_vblank_waitqueue drm_cvt_mode __drm_dbg drm_debugfs_create_files drm_detect_hdmi_monitor drm_dev_alloc drm_dev_dbg drm_dev_enter drm_dev_exit drm_dev_printk drm_dev_put drm_dev_register drm_dev_unplug drm_dev_unregister drm_display_mode_from_videomode drm_display_mode_to_videomode drm_do_get_edid drm_dp_aux_init drm_dp_aux_register drm_dp_aux_unregister drm_dp_bw_code_to_link_rate drm_dp_calc_pbn_mode drm_dp_channel_eq_ok drm_dp_check_act_status drm_dp_clock_recovery_ok drm_dp_downstream_debug drm_dp_dpcd_read drm_dp_dpcd_read_link_status drm_dp_dpcd_write drm_dp_dsc_sink_line_buf_depth drm_dp_dsc_sink_max_slice_count drm_dp_find_vcpi_slots drm_dp_get_adjust_request_pre_emphasis drm_dp_get_adjust_request_voltage drm_dp_link_rate_to_bw_code drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_dp_mst_allocate_vcpi drm_dp_mst_deallocate_vcpi drm_dp_mst_detect_port drm_dp_mst_hpd_irq drm_dp_mst_reset_vcpi_slots drm_dp_mst_topology_mgr_init drm_dp_mst_topology_mgr_set_mst drm_dp_set_subconnector_property drm_dp_update_payload_part1 drm_dp_update_payload_part2 drm_dsc_compute_rc_parameters drm_dsc_pps_payload_pack drm_edid_header_is_valid drm_edid_is_valid drm_edid_to_sad drm_edid_to_speaker_allocation drm_encoder_cleanup drm_encoder_init __drm_err drm_flip_work_cleanup drm_flip_work_commit drm_flip_work_init drm_flip_work_queue drm_format_info drm_framebuffer_cleanup drm_framebuffer_init drm_framebuffer_unregister_private drm_gem_create_mmap_offset drm_gem_dmabuf_mmap drm_gem_dmabuf_release drm_gem_dmabuf_vmap drm_gem_dmabuf_vunmap drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_handle_create drm_gem_mmap drm_gem_object_free drm_gem_object_lookup drm_gem_object_release drm_gem_prime_export drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_mmap drm_gem_private_object_init drm_gem_vm_close drm_gem_vm_open drm_get_connector_status_name drm_get_edid drm_get_format_info drm_handle_vblank drm_hdmi_avi_infoframe_from_display_mode drm_helper_connector_dpms drm_helper_disable_unused_functions drm_helper_force_disable_all drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_detect drm_helper_probe_single_connector_modes drm_helper_resume_force_mode drm_ioctl drm_is_current_master drm_kms_helper_hotplug_event drm_kms_helper_is_poll_worker drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_mm_init drm_mm_insert_node_in_range drmm_mode_config_init drm_mm_print drm_mm_remove_node drm_mm_reserve_node drm_mm_takedown drm_mode_config_cleanup drm_mode_config_helper_resume drm_mode_config_helper_suspend drm_mode_config_reset drm_mode_copy drm_mode_create drm_mode_create_scaling_mode_property drm_mode_crtc_set_gamma_size drm_mode_duplicate drm_mode_object_get drm_mode_object_put drm_mode_probed_add drm_mode_set_crtcinfo drm_modeset_lock drm_modeset_lock_all drm_mode_set_name drm_modeset_unlock drm_modeset_unlock_all drm_mode_vrefresh drm_object_attach_property drm_of_component_match_add drm_of_crtc_port_mask drm_of_find_possible_crtcs drm_open drm_panel_add drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_init drm_panel_prepare drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_plane_create_alpha_property drm_plane_create_blend_mode_property drm_plane_create_zpos_immutable_property drm_plane_create_zpos_property drm_poll drm_prime_gem_destroy drm_prime_pages_to_sg drm_prime_sg_to_dma_addr_array drm_print_bits drm_printf __drm_printfn_info __drm_printfn_seq_file drm_property_create_enum drm_property_create_range __drm_puts_seq_file drm_read drm_release drm_rotation_simplify drm_set_preferred_mode drm_simple_encoder_init drm_state_dump drm_syncobj_add_point drm_syncobj_create drm_syncobj_find drm_syncobj_find_fence drm_syncobj_free drm_syncobj_get_fd drm_syncobj_get_handle drm_syncobj_replace_fence drm_universal_plane_init drm_vblank_init drm_writeback_connector_init dump_backtrace dump_stack dw_pcie_ep_init dw_pcie_host_init dw_pcie_own_conf_map_bus dw_pcie_read dw_pcie_read_dbi dw_pcie_setup_rc dw_pcie_write dw_pcie_write_dbi enable_irq eth_commit_mac_addr_change ether_setup eth_mac_addr ethnl_cable_test_amplitude ethnl_cable_test_fault_length ethnl_cable_test_pulse ethnl_cable_test_result ethnl_cable_test_step eth_prepare_mac_addr_change __ethtool_get_link_ksettings ethtool_op_get_link ethtool_op_get_ts_info ethtool_sprintf ethtool_virtdev_set_link_ksettings eth_type_trans eth_validate_addr event_triggers_call fasync_helper __fdget fd_install _find_first_bit _find_first_zero_bit find_get_pid _find_next_bit __find_vma finish_wait flow_keys_basic_dissector flush_dcache_page flush_delayed_work flush_work flush_workqueue fput free_irq free_netdev __free_pages free_pages free_percpu freezing_slow_path fsync_bdev gcd generic_file_llseek generic_handle_domain_irq generic_handle_irq genphy_c45_an_config_aneg genphy_c45_aneg_done genphy_c45_check_and_restart_aneg genphy_c45_loopback genphy_c45_pma_read_abilities genphy_c45_pma_setup_forced genphy_c45_read_link genphy_c45_read_lpa genphy_check_and_restart_aneg __genphy_config_aneg genphy_read_lpa genphy_read_status_fixed genphy_resume genphy_setup_forced genphy_soft_reset genphy_suspend genphy_update_link gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_free_owner get_device __get_free_pages get_random_bytes get_random_u32 __get_task_comm get_task_pid get_unused_fd_flags get_user_pages_fast gic_nonsecure_priorities gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_lock_as_irq gpiochip_unlock_as_irq gpiod_direction_input gpiod_direction_output_raw gpiod_get_raw_value gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_request_one gpio_to_desc handle_edge_irq handle_level_irq handle_nested_irq handle_simple_irq handle_sysrq hdmi_avi_infoframe_init hdmi_avi_infoframe_pack hex_dump_to_buffer hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel hvc_alloc hvc_instantiate hvc_kick hvc_poll hvc_remove __hvc_resize hwrng_register hwrng_unregister i2c_adapter_type i2c_add_adapter i2c_add_numbered_adapter i2c_bit_add_bus i2c_bus_type i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_get_adapter i2c_new_ancillary_device i2c_new_client_device i2c_put_adapter i2c_register_driver i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client i3c_generic_ibi_alloc_pool i3c_generic_ibi_free_pool i3c_generic_ibi_get_free_slot i3c_generic_ibi_recycle_slot i3c_master_add_i3c_dev_locked i3c_master_defslvs_locked i3c_master_disec_locked i3c_master_do_daa i3c_master_enec_locked i3c_master_entdaa_locked i3c_master_get_free_addr i3c_master_queue_ibi i3c_master_register i3c_master_set_info i3c_master_unregister ida_alloc_range ida_free idr_alloc idr_alloc_cyclic idr_destroy idr_find idr_for_each idr_get_next idr_remove idr_replace in4_pton in6_pton inc_zone_page_state init_pseudo __init_rwsem __init_swait_queue_head init_task init_timer_key init_wait_entry __init_waitqueue_head input_alloc_absinfo input_allocate_device input_close_device input_event input_free_device input_mt_init_slots input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_unregister_device input_unregister_handle int_pow iomem_resource iommu_alloc_resv_region iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unlink iommu_device_unregister iommu_fwspec_add_ids iommu_fwspec_free iommu_get_dma_cookie iommu_get_domain_for_dev iommu_group_alloc iommu_group_for_each_dev iommu_group_get iommu_group_get_iommudata iommu_group_remove_device iommu_group_set_iommudata iommu_group_set_name iommu_iova_to_phys iommu_map iommu_map_sg iommu_put_dma_cookie iommu_register_device_fault_handler iommu_report_device_fault iommu_unmap iommu_unregister_device_fault_handler __ioremap ioremap_cache iounmap iput __irq_alloc_descs irq_chip_ack_parent irq_chip_mask_parent irq_chip_unmask_parent irq_create_mapping_affinity irq_create_of_mapping irq_dispose_mapping __irq_domain_add irq_domain_get_irq_data irq_domain_remove irq_domain_set_info irq_domain_update_bus_token irq_domain_xlate_twocell irq_find_matching_fwspec irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity_hint irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_wake irq_to_desc is_dma_buf_file is_vmalloc_addr iterate_fd jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_kobj kernel_neon_begin kernel_neon_end kern_mount kern_unmount __kfifo_in __kfifo_out kfree kfree_const kill_anon_super kill_fasync kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kmsg_dump_get_line kmsg_dump_rewind kobject_create_and_add kobject_del kobject_get kobject_init_and_add kobject_put kobject_uevent krealloc kset_create_and_add kset_unregister kstat kstrdup kstrdup_const kstrndup kstrtobool kstrtobool_from_user kstrtoint kstrtoint_from_user kstrtoll kstrtou16 kstrtou8 kstrtouint kstrtouint_from_user kstrtoull kthread_create_on_node kthread_flush_work kthread_flush_worker __kthread_init_worker kthread_park kthread_parkme kthread_queue_work kthread_should_park kthread_should_stop kthread_stop kthread_unpark kthread_worker_fn ktime_get ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node __list_add_valid __list_del_entry_valid __local_bh_enable_ip __lock_page loops_per_jiffy mdiobus_alloc_size mdiobus_free mdiobus_get_phy __mdiobus_read mdiobus_read __mdiobus_register mdiobus_unregister __mdiobus_write mdiobus_write memcpy __memcpy_fromio __memcpy_toio memdup_user mem_encrypt_active memmove memparse mem_section memset64 memset __memset_io memstart_addr mfd_add_devices mfd_remove_devices mipi_dsi_attach mipi_dsi_detach mipi_dsi_device_register_full mipi_dsi_device_unregister mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_host_register mipi_dsi_host_unregister misc_deregister misc_register __mmdrop mmu_notifier_synchronize mod_delayed_work_on mod_timer module_layout module_put __msecs_to_jiffies msleep __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock __napi_alloc_skb napi_complete_done napi_consume_skb napi_disable napi_enable napi_gro_receive __napi_schedule __napi_schedule_irqoff napi_schedule_prep netdev_alert __netdev_alloc_skb netdev_change_features netdev_err netdev_increment_features netdev_info netdev_lower_state_changed netdev_master_upper_dev_link netdev_notify_peers netdev_pick_tx netdev_rx_handler_register netdev_rx_handler_unregister netdev_update_features netdev_upper_dev_unlink netdev_warn netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add __netif_napi_del netif_receive_skb netif_rx netif_schedule_queue netif_set_real_num_rx_queues netif_set_real_num_tx_queues __netif_set_xps_queue netif_tx_stop_all_queues netif_tx_wake_queue net_ratelimit nf_conntrack_destroy no_llseek nonseekable_open noop_llseek nr_cpu_ids nr_irqs nsecs_to_jiffies ns_to_timespec64 __num_online_cpus of_address_to_resource of_alias_get_id of_clk_add_hw_provider of_clk_add_provider of_clk_del_provider of_clk_get of_clk_get_by_name of_clk_hw_onecell_get of_clk_src_onecell_get of_count_phandle_with_args of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_controller_free of_dma_controller_register of_drm_find_bridge of_drm_find_panel of_find_compatible_node of_find_device_by_node of_find_matching_node_and_match of_find_mipi_dsi_host_by_node of_find_node_by_name of_find_node_opts_by_path of_find_node_with_property of_find_property of_genpd_add_provider_simple of_get_child_by_name of_get_display_timings of_get_mac_address of_get_named_gpio_flags of_get_next_child of_get_phy_mode of_get_property of_get_regulator_init_data of_graph_get_endpoint_by_regs of_graph_get_endpoint_count of_graph_get_next_endpoint of_graph_get_port_by_id of_graph_get_remote_node of_graph_get_remote_port of_graph_get_remote_port_parent of_graph_parse_endpoint of_iomap of_irq_find_parent of_irq_parse_one of_machine_is_compatible of_match_device of_match_node of_mdiobus_register of_n_addr_cells of_node_name_eq of_n_size_cells of_parse_phandle of_phandle_iterator_init of_phandle_iterator_next of_phy_deregister_fixed_link of_phy_is_fixed_link of_phy_register_fixed_link of_platform_populate of_property_count_elems_of_size of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_root of_thermal_get_ntrips of_thermal_is_trip_valid oops_in_progress page_endio page_mapping panic panic_notifier_list param_array_ops param_get_bool param_get_string param_ops_bint param_ops_bool param_ops_byte param_ops_charp param_ops_hexint param_ops_int param_ops_string param_ops_uint param_set_bool param_set_copystring passthru_features_check pci_alloc_irq_vectors_affinity pci_assign_unassigned_bus_resources pci_bus_resource_n pci_bus_type pci_clear_master pci_device_is_present pci_disable_device pci_disable_sriov pcie_get_mps pci_enable_atomic_ops_to_root pci_enable_device pci_enable_pcie_error_reporting pci_enable_sriov pci_epc_map_addr pci_epc_mem_alloc_addr pci_epc_mem_free_addr pci_epc_set_bar pci_epc_unmap_addr pcie_set_mps pci_find_bus pci_find_capability pci_find_ext_capability pci_find_next_capability pci_free_irq_vectors pci_generic_config_read pci_generic_config_write pci_get_device pci_iomap pci_iomap_range pci_iounmap pci_irq_get_affinity pci_irq_vector pci_load_saved_state pci_map_rom pci_msi_create_irq_domain pci_msi_mask_irq pci_msi_unmask_irq pci_msix_vec_count pci_num_vf pci_read_config_byte pci_read_config_dword pci_read_config_word pci_rebar_get_possible_sizes __pci_register_driver pci_release_region pci_release_resource pci_release_selected_regions pci_request_region pci_request_selected_regions pci_rescan_bus pci_reset_function pci_resize_resource pci_restore_state pci_save_state pci_set_master pci_store_saved_state pci_unmap_rom pci_unregister_driver pci_vfs_assigned pci_wait_for_pending_transaction pci_write_config_dword pci_write_config_word PDE_DATA __per_cpu_offset perf_event_update_userpage perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_attached_info phy_config_aneg phy_configure phy_disconnect phy_drivers_register phy_drivers_unregister phy_error phy_ethtool_get_eee phy_ethtool_ksettings_get phy_ethtool_ksettings_set phy_ethtool_nway_reset phy_ethtool_set_eee phy_exit phy_gbit_fibre_features phy_get_eee_err phy_init phy_init_eee phylink_connect_phy phylink_create phylink_destroy phylink_ethtool_ksettings_get phylink_of_phy_connect phylink_set_port_modes phylink_start phy_mac_interrupt phy_mii_ioctl __phy_modify phy_modify phy_modify_changed phy_modify_mmd phy_modify_mmd_changed phy_modify_paged phy_modify_paged_changed phy_power_off phy_power_on phy_read_mmd phy_read_paged phy_resolve_aneg_pause phy_restore_page phy_resume phy_save_page phy_select_page phy_sfp_attach phy_sfp_detach phy_sfp_probe phy_start_aneg phy_stop phy_suspend phy_trigger_machine phy_write_mmd phy_write_paged pid_task pinctrl_add_gpio_range pinctrl_dev_get_drvdata pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_remove_gpio_range pinctrl_select_state pin_user_pages pin_user_pages_remote pipe_lock pipe_unlock platform_bus_type platform_device_add platform_device_add_resources platform_device_alloc platform_device_del platform_device_put platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_find_device_by_driver platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_resource platform_get_resource_byname __platform_register_drivers platform_unregister_drivers pm_genpd_add_subdomain pm_genpd_init pm_power_off __pm_relax pm_relax pm_runtime_allow __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle pm_runtime_irq_safe pm_runtime_no_callbacks __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_suspend_global_flags pm_wakeup_dev_event pm_wakeup_ws_event prandom_bytes preempt_schedule preempt_schedule_notrace prepare_to_wait_event print_hex_dump _printk __printk_ratelimit proc_create proc_create_data proc_mkdir proc_set_size __pskb_pull_tail ptp_clock_index ptp_clock_register ptp_clock_unregister put_device __put_page put_pid __put_task_struct put_unused_fd pwmchip_add pwmchip_remove pwm_get_chip_data pwm_set_chip_data queue_delayed_work_on queue_work_on radix_tree_delete radix_tree_insert radix_tree_iter_delete radix_tree_lookup radix_tree_next_chunk ___ratelimit _raw_read_lock _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_irqrestore rb_erase __rb_erase_color rb_first rb_first_postorder __rb_insert_augmented rb_insert_color rb_next rb_next_postorder rb_prev rb_replace_node rcu_barrier __rcu_read_lock __rcu_read_unlock rdev_get_drvdata rdev_get_id refcount_dec_and_mutex_lock refcount_warn_saturate __refrigerator regcache_cache_only regcache_mark_dirty regcache_sync __register_blkdev __register_chrdev register_chrdev_region register_console register_die_notifier register_netdev register_netdevice_notifier register_oom_notifier register_pm_notifier register_reboot_notifier register_restart_handler register_shrinker register_syscore_ops register_virtio_device register_virtio_driver regmap_bulk_write regmap_read regmap_register_patch regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_disable regulator_enable regulator_get regulator_get_optional regulator_get_voltage regulator_is_enabled regulator_list_voltage_linear regulator_map_voltage_linear regulator_put regulator_register regulator_set_voltage regulator_unregister release_firmware __release_region remap_pfn_range remap_vmalloc_range remove_cpu remove_proc_entry remove_wait_queue request_firmware __request_region request_threaded_irq reset_control_assert reset_control_deassert return_address rtc_time64_to_tm rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_lock rtnl_unlock sched_clock sched_set_fifo sched_set_fifo_low schedule schedule_timeout scnprintf scsi_block_when_processing_errors __scsi_execute scsi_print_sense_hdr scsi_schedule_eh sdev_prefix_printk seq_hex_dump seq_lseek seq_open seq_printf seq_putc seq_puts seq_read seq_release seq_write set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_disk_ro set_freezable set_normalized_timespec64 set_page_dirty_lock __SetPageMovable set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_next __sg_page_iter_next __sg_page_iter_start sigprocmask si_meminfo simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtoul simple_write_to_buffer single_open single_release skb_add_rx_frag skb_coalesce_rx_frag __skb_flow_dissect skb_page_frag_refill skb_partial_csum_set skb_pull skb_put skb_to_sgvec skb_tstamp_tx sk_error_report smp_call_function smp_call_function_single smp_call_on_cpu snd_ctl_enum_info snd_dmaengine_pcm_prepare_slave_config snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_lib_free_pages snd_pcm_lib_ioctl snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_free_for_all snd_pcm_lib_preallocate_pages snd_pcm_period_elapsed snd_soc_add_component_controls snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_update_bits snd_soc_component_write snd_soc_dai_set_fmt snd_soc_dai_set_tdm_slot snd_soc_dai_set_tristate snd_soc_dapm_add_routes snd_soc_dapm_get_enum_double snd_soc_dapm_get_volsw snd_soc_dapm_kcontrol_widget snd_soc_dapm_mux_update_power snd_soc_dapm_new_control snd_soc_dapm_new_controls snd_soc_dapm_put_enum_double snd_soc_dapm_put_volsw snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_of_get_dai_link_codecs snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_put_dai_link_codecs snd_soc_pm_ops snd_soc_put_volsw snd_soc_set_runtime_hwparams snd_soc_unregister_component snprintf soc_device_register softnet_data sort __spi_alloc_controller spi_controller_resume spi_controller_suspend spi_delay_exec spi_finalize_current_message spi_register_controller __spi_register_driver spi_setup spi_sync spi_unregister_controller __splice_from_pipe split_page sprintf sprint_symbol sscanf __stack_chk_fail start_backtrace static_key_slow_dec static_key_slow_inc strcasecmp strcat strchr strcmp strcpy strcspn stream_open strim string_get_size strlcat strlcpy strlen strncasecmp strncmp strncpy strnlen strnstr strpbrk strrchr strsep strstr subsys_system_register __sw_hweight32 __sw_hweight64 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu syscon_node_to_regmap syscon_regmap_lookup_by_phandle sysfs_add_file_to_group sysfs_create_bin_file sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_groups sysfs_create_link sysfs_emit __sysfs_match_string sysfs_notify sysfs_remove_bin_file sysfs_remove_file_from_group sysfs_remove_file_ns sysfs_remove_files sysfs_remove_group sysfs_remove_link sysfs_streq sysrq_mask system_freezing_cnt system_unbound_wq system_wq sys_tz tasklet_init tasklet_kill __tasklet_schedule tasklet_setup __task_pid_nr_ns thermal_zone_device_update thermal_zone_of_sensor_register thermal_zone_of_sensor_unregister time64_to_tm _totalram_pages touch_softlockup_watchdog trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_vh_ipi_stop __traceiter_android_vh_logbuf __traceiter_android_vh_ufs_fill_prdt __traceiter_cpu_idle __traceiter_device_pm_callback_end __traceiter_device_pm_callback_start __traceiter_gpu_mem_total __traceiter_hrtimer_expire_entry __traceiter_hrtimer_expire_exit __traceiter_irq_handler_entry __traceiter_irq_handler_exit __traceiter_rwmmio_post_read __traceiter_rwmmio_read __traceiter_rwmmio_write __traceiter_sched_switch __traceiter_suspend_resume __traceiter_workqueue_execute_end __traceiter_workqueue_execute_start __traceiter_xdp_exception trace_output_call __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_logbuf __tracepoint_android_vh_ufs_fill_prdt __tracepoint_cpu_idle __tracepoint_device_pm_callback_end __tracepoint_device_pm_callback_start __tracepoint_gpu_mem_total __tracepoint_hrtimer_expire_entry __tracepoint_hrtimer_expire_exit __tracepoint_irq_handler_entry __tracepoint_irq_handler_exit tracepoint_probe_register __tracepoint_rwmmio_post_read __tracepoint_rwmmio_read __tracepoint_rwmmio_write __tracepoint_sched_switch __tracepoint_suspend_resume __tracepoint_workqueue_execute_end __tracepoint_workqueue_execute_start __tracepoint_xdp_exception trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq trace_raw_output_prep try_module_get ttm_bo_bulk_move_lru_tail ttm_bo_eviction_valuable ttm_bo_init_reserved ttm_bo_kmap ttm_bo_kunmap ttm_bo_lock_delayed_workqueue ttm_bo_mem_space ttm_bo_mmap_obj ttm_bo_move_accel_cleanup ttm_bo_move_memcpy ttm_bo_move_to_lru_tail ttm_bo_put ttm_bo_unlock_delayed_workqueue ttm_bo_validate ttm_bo_vm_access ttm_bo_vmap ttm_bo_vm_close ttm_bo_vm_dummy_page ttm_bo_vm_fault_reserved ttm_bo_vm_open ttm_bo_vm_reserve ttm_bo_vunmap ttm_bo_wait ttm_device_fini ttm_device_init ttm_eu_backoff_reservation ttm_eu_fence_buffer_objects ttm_eu_reserve_buffers ttm_glob ttm_pool_alloc ttm_pool_debugfs ttm_pool_free ttm_range_man_fini ttm_range_man_init ttm_resource_free ttm_resource_init ttm_resource_manager_evict_all ttm_resource_manager_init ttm_sg_tt_init ttm_tt_destroy_common ttm_tt_fini __tty_alloc_driver tty_driver_kref_put tty_flip_buffer_push tty_insert_flip_string_fixed_flag tty_kref_put tty_port_close tty_port_destroy tty_port_hangup tty_port_init tty_port_link_device tty_port_open tty_port_tty_get tty_register_driver tty_std_termios tty_unregister_driver typec_register_partner typec_register_port typec_set_data_role typec_set_pwr_opmode typec_set_pwr_role typec_unregister_partner typec_unregister_port uart_add_one_port uart_console_write uart_get_baud_rate uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort __udelay ufshcd_config_pwr_mode ufshcd_dme_get_attr ufshcd_dump_regs ufshcd_link_recovery ufshcd_pltfrm_init ufshcd_remove ufshcd_shutdown ufshcd_system_resume ufshcd_system_suspend unlock_page unmap_mapping_range unpin_user_page unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_console unregister_netdev unregister_netdevice_notifier unregister_netdevice_queue unregister_oom_notifier unregister_pm_notifier unregister_reboot_notifier unregister_shrinker unregister_virtio_device unregister_virtio_driver up up_read up_write usb_gadget_set_state usb_otg_state_string __usecs_to_jiffies usleep_range_state uuid_gen v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_device_register v4l2_device_register_subdev v4l2_device_unregister v4l2_device_unregister_subdev v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_dqbuf v4l2_m2m_get_curr_priv v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_job_finish v4l2_m2m_mmap v4l2_m2m_next_buf v4l2_m2m_poll v4l2_m2m_qbuf v4l2_m2m_querybuf v4l2_m2m_release v4l2_m2m_reqbufs v4l2_m2m_streamoff v4l2_m2m_streamon v4l2_m2m_try_schedule v4l2_subdev_call_wrappers v4l2_subdev_init v4l_bound_align_image vabits_actual vb2_buffer_done vb2_dma_sg_memops vb2_dqbuf vb2_mmap vb2_plane_cookie vb2_plane_vaddr vb2_poll vb2_qbuf vb2_querybuf vb2_queue_init vb2_queue_release vb2_reqbufs vb2_streamoff vb2_streamon vfree video_devdata video_device_alloc video_device_release video_ioctl2 videomode_from_timings __video_register_device video_unregister_device virtio_break_device virtio_check_driver_offered_feature virtio_config_changed virtio_device_freeze virtio_device_restore virtio_max_dma_size virtio_transport_connect virtio_transport_deliver_tap_pkt virtio_transport_destruct virtio_transport_dgram_allow virtio_transport_dgram_bind virtio_transport_dgram_dequeue virtio_transport_dgram_enqueue virtio_transport_do_socket_init virtio_transport_free_pkt virtio_transport_notify_buffer_size virtio_transport_notify_poll_in virtio_transport_notify_poll_out virtio_transport_notify_recv_init virtio_transport_notify_recv_post_dequeue virtio_transport_notify_recv_pre_block virtio_transport_notify_recv_pre_dequeue virtio_transport_notify_send_init virtio_transport_notify_send_post_enqueue virtio_transport_notify_send_pre_block virtio_transport_notify_send_pre_enqueue virtio_transport_recv_pkt virtio_transport_release virtio_transport_seqpacket_dequeue virtio_transport_seqpacket_enqueue virtio_transport_seqpacket_has_data virtio_transport_shutdown virtio_transport_stream_allow virtio_transport_stream_dequeue virtio_transport_stream_enqueue virtio_transport_stream_has_data virtio_transport_stream_has_space virtio_transport_stream_is_active virtio_transport_stream_rcvhiwat virtqueue_add_inbuf virtqueue_add_inbuf_ctx virtqueue_add_outbuf virtqueue_add_sgs virtqueue_detach_unused_buf virtqueue_disable_cb virtqueue_enable_cb virtqueue_enable_cb_delayed virtqueue_enable_cb_prepare virtqueue_get_avail_addr virtqueue_get_buf virtqueue_get_buf_ctx virtqueue_get_desc_addr virtqueue_get_used_addr virtqueue_get_vring_size virtqueue_is_broken virtqueue_kick virtqueue_kick_prepare virtqueue_notify virtqueue_poll vlan_uses_dev vlan_vid_add vlan_vid_del vlan_vids_add_by_dev vlan_vids_del_by_dev __vmalloc vmalloc vmalloc_to_page vmalloc_user vmap vmf_insert_pfn_prot vm_insert_page vprintk vring_create_virtqueue vring_del_virtqueue vring_interrupt vring_transport_features vscnprintf vsnprintf vsock_core_register vsock_core_unregister vsock_for_each_connected_socket vunmap vzalloc wait_for_completion wait_for_completion_interruptible_timeout wait_for_completion_killable wait_for_completion_timeout __wake_up __wake_up_locked wake_up_process wakeup_source_add wakeup_source_register wakeup_source_unregister __warn_printk watchdog_init_timeout watchdog_register_device watchdog_set_restart_priority watchdog_unregister_device work_busy ww_mutex_lock ww_mutex_lock_interruptible ww_mutex_unlock xa_destroy __xa_erase xa_load __xa_store xdp_convert_zc_to_xdp_frame xdp_do_flush xdp_do_redirect xdp_master_redirect xdp_return_frame xdp_return_frame_rx_napi xdp_rxq_info_reg xdp_rxq_info_reg_mem_model xdp_rxq_info_unreg xdp_warn zs_compact zs_create_pool zs_destroy_pool zs_free zs_get_total_pages zs_huge_class_size zs_malloc zs_map_object zs_pool_stats zs_unmap_object [abi_symbol_list] # commonly used symbols module_layout __put_task_struct # required by fips140.ko add_random_ready_callback aead_register_instance ahash_register_instance arch_timer_read_counter bcmp cancel_work_sync __cfi_slowpath_diag complete_all completion_done cpu_have_feature crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_ahash_finup crypto_ahash_setkey crypto_alg_list crypto_alg_sem crypto_alloc_aead crypto_alloc_base crypto_alloc_rng crypto_alloc_shash crypto_alloc_skcipher crypto_attr_alg_name crypto_check_attr_type crypto_cipher_encrypt_one crypto_cipher_setkey crypto_destroy_tfm crypto_drop_spawn crypto_get_default_null_skcipher crypto_grab_aead crypto_grab_ahash crypto_grab_shash crypto_grab_skcipher crypto_grab_spawn crypto_inst_setname crypto_put_default_null_skcipher crypto_register_aead crypto_register_aeads crypto_register_ahash crypto_register_ahashes crypto_register_alg crypto_register_algs crypto_register_rng crypto_register_rngs crypto_register_shash crypto_register_shashes crypto_register_skcipher crypto_register_skciphers crypto_register_template crypto_register_templates crypto_remove_spawns crypto_req_done crypto_rng_reset crypto_shash_alg_has_setkey crypto_shash_digest crypto_shash_final crypto_shash_finup crypto_shash_setkey crypto_shash_tfm_digest crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey crypto_spawn_tfm2 crypto_spawn_tfm crypto_unregister_aead crypto_unregister_alg crypto_unregister_rng crypto_unregister_rngs crypto_unregister_shash crypto_unregister_shashes crypto_unregister_skciphers crypto_unregister_template crypto_unregister_templates del_random_ready_callback down_write fpsimd_context_busy get_random_bytes __init_swait_queue_head irq_stat kasan_flag_enabled kernel_neon_begin kernel_neon_end kfree kfree_sensitive __kmalloc kmalloc_caches kmem_cache_alloc_trace kmemdup ktime_get __list_add_valid __list_del_entry_valid memcpy memset __mutex_init mutex_lock mutex_unlock panic preempt_schedule preempt_schedule_notrace _printk queue_work_on ___ratelimit _raw_spin_lock _raw_spin_unlock refcount_warn_saturate scatterwalk_ffwd scatterwalk_map_and_copy sg_init_one sg_init_table sg_next shash_free_singlespawn_instance shash_register_instance skcipher_alloc_instance_simple skcipher_register_instance skcipher_walk_aead_decrypt skcipher_walk_aead_encrypt skcipher_walk_done skcipher_walk_virt snprintf __stack_chk_fail strcmp strlcat strlcpy strlen strncmp system_wq __traceiter_android_vh_aes_decrypt __traceiter_android_vh_aes_encrypt __traceiter_android_vh_aes_expandkey __traceiter_android_vh_sha256 __tracepoint_android_vh_aes_decrypt __tracepoint_android_vh_aes_encrypt __tracepoint_android_vh_aes_expandkey __tracepoint_android_vh_sha256 tracepoint_probe_register __ubsan_handle_cfi_check_fail_abort up_write wait_for_completion xa_load xa_store [abi_symbol_list] __alloc_percpu_gfp bdevname blkcg_activate_policy blkcg_deactivate_policy blkcg_policy_register blkcg_policy_unregister blkcg_root blkdev_get_by_dev blkdev_get_by_path blkdev_issue_flush blkg_lookup_slowpath blk_mq_free_request blk_mq_sched_try_insert_merge blk_mq_sched_try_merge blk_queue_rq_timeout cdc_ncm_bind_common cdc_ncm_change_mtu cdc_ncm_fill_tx_frame cdc_ncm_rx_verify_ndp16 cdc_ncm_rx_verify_nth16 cdc_ncm_select_altsetting cdc_ncm_unbind class_create_file_ns class_remove_file_ns cleancache_register_ops copy_page crc16 create_function_device css_next_descendant_pre _dev_alert devm_bitmap_zalloc drm_edid_get_monitor_name drm_edid_is_valid elevator_alloc elv_bio_merge_ok elv_rb_add elv_rb_del elv_rb_find elv_rb_former_request elv_rb_latter_request elv_register elv_rqhash_add elv_rqhash_del elv_unregister file_ra_state_init file_write_and_wait_range generic_perform_write generic_write_checks get_options get_random_u64 gs_alloc_req gserial_free_line gserial_resume gserial_suspend gs_free_req hid_hw_close hid_hw_open __kfifo_out_peek __kfree_skb kmsg_dump_get_line kstrtos16 kthread_blkcg name_to_dev_t netlink_ack of_css phy_connect_direct phy_find_first phy_get_pause phy_resolve_aneg_linkmode pinctrl_get proc_set_size pstore_register pstore_unregister pwm_put regulator_get_current_limit rfkill_set_sw_state sbitmap_queue_min_shallow_depth skb_copy_ubufs smpboot_unregister_percpu_thread snd_soc_add_card_controls stack_trace_save_regs __traceiter_android_rvh_die_kernel_fault __traceiter_android_rvh_do_mem_abort __traceiter_android_rvh_do_sp_pc_abort __traceiter_android_rvh_do_undefinstr __traceiter_android_vh_meminfo_proc_show __traceiter_android_vh_show_mem __traceiter_block_rq_insert __tracepoint_android_rvh_arm64_serror_panic __tracepoint_android_rvh_die_kernel_fault __tracepoint_android_rvh_do_mem_abort __tracepoint_android_rvh_do_ptrauth_fault __tracepoint_android_rvh_do_sea __tracepoint_android_rvh_do_sp_pc_abort __tracepoint_android_rvh_do_undefinstr __tracepoint_android_rvh_panic_unhandled __tracepoint_android_vh_meminfo_proc_show __tracepoint_android_vh_ptype_head __tracepoint_android_vh_rtmutex_wait_finish __tracepoint_android_vh_rtmutex_wait_start __tracepoint_android_vh_rwsem_read_wait_finish __tracepoint_android_vh_rwsem_write_wait_finish __tracepoint_android_vh_sched_show_task __tracepoint_android_vh_show_mem __tracepoint_android_vh_try_to_freeze_todo __tracepoint_android_vh_try_to_freeze_todo_unfrozen __tracepoint_android_vh_watchdog_timer_softlockup __tracepoint_android_vh_wq_lockup_pool __tracepoint_block_rq_insert __tracepoint_hrtimer_expire_entry __tracepoint_hrtimer_expire_exit __tracepoint_irq_handler_entry __tracepoint_irq_handler_exit __tracepoint_kfree_skb __tracepoint_workqueue_execute_start usb_alloc_dev usb_deregister_dev usb_find_interface usb_hid_driver usbnet_cdc_unbind usbnet_defer_kevent usbnet_generic_cdc_bind usb_poison_anchored_urbs usb_register_dev usb_set_device_state vfs_fsync_range __vlan_find_dev_deep_rcu [abi_symbol_list] __traceiter_android_vh_rwsem_init __traceiter_android_vh_rwsem_wake __traceiter_android_vh_rwsem_write_finished __traceiter_android_vh_alter_rwsem_list_add __traceiter_android_vh_mutex_init __traceiter_android_vh_alter_mutex_list_add __traceiter_android_vh_mutex_unlock_slowpath __traceiter_android_vh_mutex_wait_start __traceiter_android_vh_mutex_wait_finish __tracepoint_android_vh_rwsem_init __tracepoint_android_vh_rwsem_wake __tracepoint_android_vh_rwsem_write_finished __tracepoint_android_vh_alter_rwsem_list_add __tracepoint_android_vh_mutex_init __tracepoint_android_vh_alter_mutex_list_add __tracepoint_android_vh_mutex_unlock_slowpath __tracepoint_android_vh_mutex_wait_start __tracepoint_android_vh_mutex_wait_finish [abi_symbol_list] # commonly used symbols add_wait_queue alloc_chrdev_region alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages alloc_pages_exact __alloc_percpu __alloc_skb alloc_workqueue __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_smc atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister bcmp __bitmap_and __bitmap_complement blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bsearch bus_register bus_unregister cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_del cdev_init cec_allocate_adapter cec_delete_adapter cec_received_msg_ts cec_register_adapter cec_transmit_attempt_done_ts cec_unregister_adapter __cfi_slowpath_diag __check_object_size __class_create class_destroy __class_register class_unregister clk_bulk_enable clk_bulk_prepare clk_bulk_unprepare clk_disable clk_enable clk_get __clk_get_name clk_get_parent clk_get_rate __clk_hw_register_divider clk_hw_register_fixed_factor __clk_hw_register_fixed_rate __clk_hw_register_gate __clk_hw_register_mux clk_is_match clk_prepare clk_put clk_round_rate clk_set_parent clk_set_rate clk_unprepare clockevents_config_and_register complete complete_all completion_done component_add component_bind_all component_del component_master_add_with_match component_master_del component_unbind_all __const_udelay consume_skb cpu_bit_bitmap __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpu_latency_qos_add_request cpu_latency_qos_remove_request cpumask_next cpu_number __cpu_online_mask __cpu_possible_mask crc32_le crypto_destroy_tfm _ctype debugfs_create_dir debugfs_create_file debugfs_remove default_llseek default_wake_function delayed_work_timer_fn del_timer del_timer_sync destroy_workqueue dev_alloc_name dev_close _dev_crit dev_driver_string _dev_err dev_err_probe dev_fwnode device_add device_create device_create_file device_del device_destroy device_for_each_child device_get_child_node_count device_get_match_data device_get_next_child_node device_initialize device_init_wakeup device_link_add device_link_del device_property_present device_property_read_u32_array device_register device_remove_file __device_reset device_set_wakeup_capable device_set_wakeup_enable device_unregister _dev_info __dev_kfree_skb_any devm_add_action devm_backlight_device_register devm_clk_bulk_get devm_clk_get devm_clk_get_optional devm_device_add_group __devm_drm_dev_alloc devm_drm_panel_bridge_add devm_extcon_dev_allocate devm_extcon_dev_register devm_free_irq devm_get_clk_from_child devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_optional devm_gpio_request_one devm_iio_device_alloc devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup devm_led_classdev_register_ext __devm_of_phy_provider_register devm_phy_create devm_phy_get devm_pinctrl_get devm_pinctrl_put devm_platform_get_and_ioremap_resource devm_platform_ioremap_resource devm_pwmchip_add __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_regulator_register devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_snd_dmaengine_pcm_register devm_snd_soc_register_card devm_snd_soc_register_component devm_spi_register_controller devm_thermal_zone_of_sensor_register devm_usb_get_phy_by_phandle devm_watchdog_register_device dev_pm_domain_attach_by_id dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_set_wake_irq devres_add __devres_alloc_node devres_free dev_set_name _dev_warn disable_irq disable_irq_nosync dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_detach dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_put dma_buf_unmap_attachment dma_fence_context_alloc dma_fence_default_wait dma_fence_init dma_fence_release dma_fence_signal_locked dma_fence_wait_timeout dma_free_attrs dma_heap_add dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dmam_alloc_attrs dma_map_page_attrs dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_mmap_attrs dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_release_channel dma_request_chan __dma_request_channel dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs do_SAK down_interruptible down_read down_trylock down_write driver_register driver_unregister drm_add_edid_modes drm_atomic_get_crtc_state drm_atomic_get_new_bridge_state drm_atomic_get_new_connector_for_encoder drm_atomic_helper_bridge_destroy_state drm_atomic_helper_bridge_duplicate_state drm_atomic_helper_bridge_reset drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_commit_tail_rpm drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_reset drm_atomic_helper_disable_plane drm_atomic_helper_page_flip drm_atomic_helper_plane_destroy_state drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_reset drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_update_plane drm_atomic_helper_wait_for_vblanks drm_bridge_add drm_bridge_attach drm_bridge_connector_init drm_bridge_hpd_notify drm_bridge_remove drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_unregister drm_connector_update_edid_property drm_crtc_arm_vblank_event drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_get drm_crtc_vblank_off drm_crtc_vblank_on __drm_dbg drm_default_rgb_quant_range drm_detect_hdmi_monitor drm_detect_monitor_audio drm_dev_alloc drm_dev_dbg drm_dev_printk drm_dev_put drm_dev_register drm_dev_unregister drm_display_info_set_bus_formats drm_display_mode_from_videomode drm_display_mode_to_videomode drm_do_get_edid drm_encoder_cleanup drm_encoder_init __drm_err drm_fb_cma_get_gem_obj drm_gem_cma_dumb_create drm_gem_cma_prime_import_sg_table drm_gem_fb_create drm_gem_mmap drm_gem_object_free drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_mmap drm_get_edid drm_hdmi_avi_infoframe_from_display_mode drm_hdmi_avi_infoframe_quant_range drm_hdmi_infoframe_set_hdr_metadata drm_hdmi_vendor_infoframe_from_display_mode drm_helper_hpd_irq_event drm_helper_probe_single_connector_modes drm_ioctl drm_kms_helper_hotplug_event drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_match_cea_mode drmm_mode_config_init drm_mode_config_cleanup drm_mode_config_helper_resume drm_mode_config_helper_suspend drm_mode_config_reset drm_mode_copy drm_mode_destroy drm_mode_duplicate drm_mode_equal drm_mode_find_dmt drm_mode_is_420_also drm_mode_is_420_only drm_mode_probed_add drm_mode_set_name drm_mode_vrefresh drm_object_attach_property drm_of_component_match_add drm_of_find_panel_or_bridge drm_of_find_possible_crtcs drm_open drm_panel_add drm_panel_disable drm_panel_init drm_panel_of_backlight drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_plane_create_zpos_immutable_property drm_poll drm_read drm_release drm_simple_encoder_init drm_universal_plane_init drm_vblank_init dump_stack enable_irq ether_setup ethnl_cable_test_fault_length ethnl_cable_test_result ethtool_op_get_link eth_type_trans eth_validate_addr event_triggers_call extcon_set_state_sync fd_install _find_first_bit _find_next_bit finish_wait flush_delayed_work flush_work flush_workqueue fput free_irq free_netdev __free_pages free_pages_exact free_percpu fwnode_graph_get_next_endpoint fwnode_graph_get_port_parent fwnode_graph_get_remote_port_parent fwnode_handle_put fwnode_property_present fwnode_property_read_string fwnode_property_read_u32_array fwnode_property_read_u64_array gcd generic_handle_domain_irq __genphy_config_aneg genphy_read_abilities genphy_read_mmd_unsupported genphy_read_status genphy_resume genphy_soft_reset genphy_suspend genphy_update_link genphy_write_mmd_unsupported gen_pool_free_owner get_cpu_device get_device get_random_bytes get_unused_fd_flags gic_nonsecure_priorities gpiochip_add_data_with_key gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_remove gpiod_direction_output gpiod_direction_output_raw gpiod_get_optional gpiod_get_value gpiod_get_value_cansleep gpiod_set_consumer_name gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value_cansleep gpiod_to_irq gpio_request gpio_to_desc handle_edge_irq handle_level_irq handle_nested_irq handle_sysrq hdmi_audio_infoframe_init hdmi_audio_infoframe_pack hdmi_avi_infoframe_pack hdmi_drm_infoframe_pack hdmi_vendor_infoframe_pack hex_dump_to_buffer i2c_add_adapter i2c_del_adapter i2c_del_driver i2c_generic_scl_recovery i2c_new_dummy_device i2c_put_adapter i2c_recover_bus i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_write_byte_data i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device ida_alloc_range ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove iio_device_attach_buffer iio_device_claim_direct_mode __iio_device_register iio_device_release_direct_mode iio_device_unregister iio_get_time_ns iio_push_event iio_push_to_buffers init_net __init_swait_queue_head init_timer_key init_wait_entry __init_waitqueue_head input_alloc_absinfo input_event input_mt_init_slots input_mt_report_slot_state input_mt_sync_frame input_register_device input_set_abs_params input_set_capability iomem_resource __ioremap iounmap __irq_domain_add irq_domain_add_legacy irq_domain_remove irq_domain_simple_ops irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_wake is_vmalloc_addr jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf __kfifo_alloc __kfifo_free __kfifo_in __kfifo_out kfree kfree_sensitive kfree_skb kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kobject_create_and_add kobject_uevent_env krealloc kstrdup kstrtoint kstrtou16 kstrtouint kstrtoull kthread_create_on_node kthread_should_stop kthread_stop ktime_get ktime_get_mono_fast_ns ktime_get_real_ts64 ktime_get_with_offset kvfree_call_rcu led_init_default_state_get __list_add_valid __list_del_entry_valid __local_bh_enable_ip mbox_free_channel mbox_request_channel_byname mbox_send_message __mdiobus_read mdiobus_read mdiobus_write media_create_pad_link media_device_cleanup media_device_init __media_device_register media_device_unregister media_entity_pads_init media_entity_remote_pad memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memparse memset memstart_addr mipi_dsi_attach mipi_dsi_create_packet mipi_dsi_dcs_enter_sleep_mode mipi_dsi_dcs_exit_sleep_mode mipi_dsi_dcs_get_display_brightness mipi_dsi_dcs_set_display_brightness mipi_dsi_dcs_set_display_off mipi_dsi_dcs_set_display_on mipi_dsi_dcs_set_pixel_format mipi_dsi_dcs_set_tear_on mipi_dsi_dcs_set_tear_scanline mipi_dsi_dcs_soft_reset mipi_dsi_detach mipi_dsi_device_register_full mipi_dsi_device_unregister mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_generic_write mipi_dsi_host_register mipi_dsi_host_unregister misc_deregister misc_register mod_delayed_work_on mod_timer module_layout module_put __msecs_to_jiffies msleep __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_unlock napi_complete_done napi_disable napi_enable napi_gro_receive __napi_schedule napi_schedule_prep __netdev_alloc_skb netdev_err netdev_info netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add netif_receive_skb netif_rx netif_rx_ni netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast net_ratelimit __nla_parse nla_put no_llseek noop_llseek nr_cpu_ids __num_online_cpus of_address_to_resource of_alias_get_id of_clk_add_hw_provider of_clk_get of_clk_get_by_name of_clk_hw_onecell_get of_count_phandle_with_args of_device_get_match_data of_device_is_available of_device_is_compatible of_device_modalias of_device_uevent_modalias of_dma_controller_register of_drm_find_bridge of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_mipi_dsi_host_by_node of_find_node_by_name of_find_node_by_phandle of_find_node_opts_by_path of_find_property of_genpd_add_provider_simple of_genpd_del_provider of_get_child_by_name of_get_compatible_child of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_parent of_get_property of_get_regulator_init_data of_graph_get_next_endpoint of_graph_get_port_by_id of_graph_get_remote_node of_graph_get_remote_port_parent of_iomap of_machine_is_compatible of_match_device of_match_node of_node_name_eq of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_phy_simple_xlate of_platform_depopulate of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_variable_u32_array of_reserved_mem_device_init_by_idx of_reserved_mem_lookup of_thermal_get_trip_points of_usb_get_phy_mode oops_in_progress param_array_ops param_ops_bool param_ops_charp param_ops_int param_ops_uint param_ops_ulong pci_read_config_dword __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_drivers_register phy_drivers_unregister phy_error phy_exit phy_init phy_init_hw __phy_modify phy_modify phy_modify_changed phy_modify_mmd phy_power_off phy_power_on phy_read_mmd phy_trigger_machine phy_write_mmd pinctrl_dev_get_drvdata pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_select_state platform_bus_type platform_device_add platform_device_add_data platform_device_alloc platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_irq_optional platform_get_resource platform_get_resource_byname platform_irq_count pm_genpd_init pm_genpd_remove pm_runtime_allow __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle pm_runtime_no_callbacks __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend pm_system_wakeup pm_wakeup_dev_event preempt_schedule preempt_schedule_notrace prepare_to_wait_event _printk pskb_expand_head __pskb_pull_tail put_device __put_page __put_task_struct pwm_apply_state queue_delayed_work_on queue_work_on ___ratelimit _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore rb_erase rb_insert_color __rcu_read_lock __rcu_read_unlock rdev_get_drvdata refcount_warn_saturate regcache_cache_only regcache_mark_dirty regcache_sync regcache_sync_region __register_chrdev register_inet6addr_notifier register_inetaddr_notifier register_netdev register_netdevice register_netdevice_notifier register_pm_notifier register_reboot_notifier __register_rpmsg_driver register_virtio_driver regmap_attach_dev regmap_bulk_read regmap_bulk_write regmap_read regmap_register_patch regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_get_voltage_sel_regmap regulator_is_enabled regulator_is_enabled_regmap regulator_list_voltage_table regulator_set_voltage regulator_set_voltage_sel_regmap regulator_set_voltage_time_sel release_firmware __release_region remap_pfn_range remove_proc_entry remove_wait_queue request_firmware request_firmware_nowait __request_module __request_region request_threaded_irq reset_control_assert reset_control_deassert rpmsg_register_device rpmsg_send rpmsg_sendto rproc_add rproc_add_carveout rproc_alloc rproc_coredump_add_segment rproc_del rproc_elf_get_boot_addr rproc_elf_load_rsc_table rproc_elf_sanity_check rproc_free rproc_mem_entry_init rproc_vq_interrupt rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtnl_is_locked rtnl_lock rtnl_unlock schedule schedule_timeout scmi_driver_register scmi_driver_unregister scnprintf seq_lseek seq_printf seq_puts seq_read sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_next __sg_page_iter_next __sg_page_iter_start simple_open simple_read_from_buffer simple_strtoul single_open single_release skb_clone skb_copy_bits skb_pull skb_push skb_put skb_trim snd_ctl_boolean_mono_info snd_dma_alloc_pages snd_dmaengine_pcm_prepare_slave_config snd_dma_free_pages snd_pcm_format_physical_width snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_list snd_pcm_hw_constraint_step snd_pcm_hw_rule_add snd_pcm_period_elapsed snd_pcm_set_managed_buffer snd_soc_add_component_controls snd_soc_card_jack_new snd_soc_component_read snd_soc_component_update_bits snd_soc_component_write snd_soc_dai_active snd_soc_daifmt_parse_clock_provider_raw snd_soc_daifmt_parse_format snd_soc_dai_link_set_capabilities snd_soc_dai_set_fmt snd_soc_dai_set_sysclk snd_soc_dai_set_tdm_slot snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_volsw snd_soc_dapm_ignore_suspend snd_soc_dapm_kcontrol_dapm snd_soc_dapm_new_controls snd_soc_dapm_put_enum_double snd_soc_dapm_put_volsw snd_soc_dpcm_get_substream snd_soc_get_enum_double snd_soc_get_pcm_runtime snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_jack_report snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_parse_card_name snd_soc_of_parse_tdm_slot snd_soc_params_to_bclk snd_soc_pm_ops snd_soc_put_enum_double snd_soc_put_volsw snd_soc_set_runtime_hwparams snprintf soc_device_match sort __spi_alloc_controller spi_mem_default_supports_op __spi_register_driver sprintf sscanf __stack_chk_fail strcasecmp strchr strcmp strcpy strlcpy strlen strncmp strncpy strrchr strscpy strsep strstr __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu syscon_node_to_regmap syscon_regmap_lookup_by_compatible syscon_regmap_lookup_by_phandle sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_emit sysfs_remove_file_ns sysfs_remove_group sysrq_mask system_power_efficient_wq system_wq tasklet_init tasklet_kill __tasklet_schedule tasklet_setup thermal_cooling_device_unregister thermal_of_cooling_device_register timer_of_init touchscreen_parse_properties touchscreen_report_pos trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return trace_raw_output_prep try_module_get tty_encode_baud_rate tty_flip_buffer_push __tty_insert_flip_char tty_insert_flip_string_fixed_flag tty_kref_put tty_port_tty_get tty_termios_baud_rate uart_add_one_port uart_console_device uart_console_write uart_get_baud_rate uart_get_rs485_mode uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort __udelay __unregister_chrdev unregister_chrdev_region unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdev unregister_netdevice_notifier unregister_netdevice_queue unregister_rpmsg_driver unregister_virtio_driver up up_read up_write usb_add_phy_dev usb_autopm_get_interface usb_autopm_put_interface usb_control_msg usb_disabled usb_remove_phy usleep_range_state v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev __v4l2_device_register_subdev_nodes v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_pending v4l2_event_queue v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_i2c_subdev_init v4l2_subdev_call_wrappers v4l2_subdev_init v4l2_subdev_link_validate v4l_bound_align_image vabits_actual vb2_buffer_done vb2_dma_contig_memops vb2_dqbuf vb2_expbuf vb2_fop_mmap vb2_fop_poll vb2_mmap vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_poll vb2_qbuf vb2_querybuf vb2_queue_init vb2_queue_release vb2_reqbufs vb2_streamoff vb2_streamon vchan_dma_desc_free_list vchan_find_desc vchan_init vchan_tx_desc_free vchan_tx_submit vfree video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 __video_register_device video_unregister_device virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_get_buf virtqueue_get_vring_size virtqueue_kick virtqueue_kick_prepare virtqueue_notify vmalloc vmalloc_to_page vmap vsnprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_timeout __wake_up wake_up_process __warn_printk watchdog_init_timeout # required by adv7511.ko cec_s_phys_addr cec_s_phys_addr_from_edid cec_transmit_done_ts drm_get_connector_status_name hdmi_avi_infoframe_init i2c_new_ancillary_device # required by at803x.ko genphy_read_lpa mdio_device_reset phy_resolve_aneg_pause # required by cdns_mhdp_drmcore.ko drm_connector_attach_content_protection_property drm_dp_aux_register drm_dp_aux_unregister drm_dp_bw_code_to_link_rate drm_dp_dpcd_read drm_dp_link_rate_to_bw_code drm_hdcp_check_ksvs_revoked drm_hdcp_update_content_protection drm_hdmi_avi_infoframe_colorspace drm_mode_create_hdmi_colorspace_property drm_modeset_lock drm_modeset_unlock hdmi_avi_infoframe_check seq_write # required by cfg80211.ko bpf_trace_run10 bpf_trace_run7 debugfs_rename __dev_change_net_namespace __dev_get_by_index dev_get_by_index device_rename do_trace_netlink_extack genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family get_net_ns_by_fd get_net_ns_by_pid inet_csk_get_port init_uts_ns key_create_or_update key_put keyring_alloc ktime_get_coarse_with_offset netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations nla_find nla_memcpy nla_put_64bit nla_reserve __nla_validate of_prop_next_u32 __put_net register_pernet_device rfkill_alloc rfkill_blocked rfkill_destroy rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister skb_add_rx_frag __sock_create sock_release sysfs_create_link sysfs_remove_link trace_print_array_seq unregister_pernet_device verify_pkcs7_signature wireless_nlevent_flush # required by ci_hdrc.ko debugfs_lookup devm_extcon_register_notifier devm_usb_get_phy ehci_handshake ehci_hub_control ehci_init_driver ehci_setup extcon_get_edev_by_phandle extcon_get_state of_usb_host_tpl_support of_usb_update_otg_caps phy_set_mode_ext platform_device_add_resources usb_add_gadget_udc usb_add_hcd __usb_create_hcd usb_debug_root usb_del_gadget_udc usb_ep_alloc_request usb_ep_clear_halt usb_ep_disable usb_ep_enable usb_ep_fifo_flush usb_ep_free_request usb_ep_set_halt usb_ep_set_maxpacket_limit usb_gadget_connect usb_gadget_disconnect usb_gadget_giveback_request usb_gadget_map_request_by_dev usb_gadget_set_state usb_gadget_udc_reset usb_gadget_unmap_request usb_gadget_unmap_request_by_dev usb_gadget_vbus_connect usb_gadget_vbus_disconnect usb_get_dr_mode usb_get_maximum_speed usb_hcd_irq usb_hcd_map_urb_for_dma usb_hcd_unmap_urb_for_dma usb_phy_set_charger_current usb_phy_set_charger_state usb_phy_set_event usb_put_hcd usb_remove_hcd usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_unregister usb_udc_vbus_handler # required by clk-imx8ulp.ko devm_of_clk_add_hw_provider # required by cma_heap.ko cma_alloc cma_get_name cma_release dma_contiguous_default_area # required by cp210x.ko tty_termios_hw_change # required by cpufreq-dt.ko cpufreq_enable_boost_support cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_attr_scaling_boost_freqs cpufreq_generic_frequency_table_verify cpufreq_generic_get cpufreq_generic_suspend cpufreq_register_driver cpufreq_unregister_driver dev_pm_opp_free_cpufreq_table dev_pm_opp_get_max_transition_latency dev_pm_opp_get_opp_count dev_pm_opp_get_sharing_cpus dev_pm_opp_get_suspend_opp_freq dev_pm_opp_init_cpufreq_table dev_pm_opp_of_cpumask_add_table dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_get_sharing_cpus dev_pm_opp_of_register_em dev_pm_opp_put_regulators dev_pm_opp_set_rate dev_pm_opp_set_regulators dev_pm_opp_set_sharing_cpus policy_has_boost_freq # required by cqhci.ko devm_blk_ksm_init mmc_cqe_request_done # required by drm_dp_aux_bus.ko device_for_each_child_reverse dev_pm_domain_attach # required by dummy_battery.ko power_supply_register power_supply_unregister # required by dw-hdmi-cec.ko cec_notifier_cec_adap_register cec_notifier_cec_adap_unregister devm_remove_action # required by dw-hdmi.ko cec_fill_conn_info_from_drm cec_notifier_conn_register cec_notifier_conn_unregister cec_notifier_set_phys_addr cec_notifier_set_phys_addr_from_edid drm_connector_atomic_hdr_metadata_equal drm_connector_attach_hdr_output_metadata_property drm_connector_attach_max_bpc_property drm_connector_init_with_ddc drm_scdc_read drm_scdc_set_high_tmds_clock_ratio drm_scdc_set_scrambling drm_scdc_write of_get_i2c_adapter_by_node of_graph_get_endpoint_by_regs # required by dw_hdmi-imx.ko devm_phy_optional_get __drmm_simple_encoder_alloc drm_of_encoder_active_endpoint # required by elants_i2c.ko i2c_smbus_xfer # required by fb.ko console_lock console_unlock fb_mode_option ignore_console_lock_warning int_sqrt is_console_locked of_get_videomode proc_create_seq_private simple_strtol vm_get_page_prot vm_iomap_memory # required by fb_fence.ko put_unused_fd system_unbound_wq # required by fec.ko ethtool_op_get_ts_info mdiobus_alloc_size mdiobus_free mdiobus_is_registered_device mdiobus_unregister netdev_pick_tx netif_schedule_queue net_selftest net_selftest_get_count net_selftest_get_strings ns_to_timespec64 of_get_mac_address of_get_phy_mode of_mdiobus_register of_phy_connect of_phy_deregister_fixed_link of_phy_find_device of_phy_is_fixed_link of_phy_register_fixed_link param_ops_byte phy_attached_info phy_connect phy_disconnect phy_ethtool_get_eee phy_ethtool_get_link_ksettings phy_ethtool_nway_reset phy_ethtool_set_eee phy_ethtool_set_link_ksettings phy_init_eee phy_mii_ioctl phy_print_status phy_remove_link_mode phy_reset_after_clk_enable phy_set_max_speed phy_set_sym_pause phy_start phy_start_aneg phy_stop phy_support_sym_pause platform_get_irq_byname_optional ptp_clock_event ptp_clock_index ptp_clock_register ptp_clock_unregister skb_tstamp_tx timecounter_cyc2time timecounter_init timecounter_read tso_build_data tso_build_hdr tso_count_descs tso_start # required by fp9931-core.ko devm_mfd_add_devices # required by fp9931-regulator.ko gpiod_get_raw_value # required by fsl-edma-v3.ko dma_get_slave_channel of_dma_controller_free # required by fsl_imx8_ddr_perf.ko bitmap_print_to_pagebuf cpumask_any_but irq_set_affinity of_irq_get perf_pmu_migrate_context perf_pmu_register perf_pmu_unregister # required by fsl_lpuart.ko add_timer console_suspend_enabled # required by ftdi_sio.ko kstrtou8 # required by galcore.ko anon_inode_getfd cache_line_size dev_pm_opp_add dev_pm_opp_remove dma_fence_array_ops dma_fence_signal down driver_create_file driver_remove_file drm_gem_handle_create drm_gem_object_lookup drm_gem_object_release drm_gem_private_object_init __find_vma find_vpid get_user_pages hrtimer_resolution iommu_attach_device iommu_domain_alloc iommu_domain_free iommu_map iommu_set_fault_handler iommu_unmap kstrtoint_from_user ktime_get_ts64 mem_section mutex_trylock param_ops_ullong pid_task reset_control_reset schedule_hrtimeout __task_pid_nr_ns _totalram_pages __traceiter_gpu_mem_total __tracepoint_gpu_mem_total vm_mmap vm_munmap vm_zone_stat # required by goodix.ko gpiod_direction_input # required by gpio-imx-rpmsg.ko generic_handle_irq __irq_alloc_descs # required by gpio-ir-recv.ko devm_rc_allocate_device devm_rc_register_device ir_raw_event_store_edge # required by gpio-mxc.ko bgpio_init __devm_irq_alloc_descs devm_irq_alloc_generic_chip devm_irq_setup_generic_chip gpiochip_lock_as_irq gpiochip_unlock_as_irq irq_gc_ack_set_bit irq_gc_mask_clr_bit irq_gc_mask_set_bit __irq_set_handler register_syscore_ops # required by gpio-pca953x.ko __bitmap_or __bitmap_replace __bitmap_xor handle_simple_irq # required by gpio-regulator.ko devm_kstrdup gpiod_count # required by gpio-reset.ko reset_controller_register reset_controller_unregister # required by gpio-switch.ko typec_switch_get_drvdata typec_switch_register typec_switch_unregister # required by gpio-vf610.ko pinctrl_gpio_direction_input pinctrl_gpio_direction_output # required by hwmon.ko devres_release kobject_uevent kstrtoll strpbrk sysfs_notify thermal_zone_device_update # required by i2c-imx-lpi2c.ko i2c_get_dma_safe_msg_buf i2c_put_dma_safe_msg_buf pinctrl_pm_select_idle_state # required by i2c-imx.ko clk_notifier_register clk_notifier_unregister i2c_add_numbered_adapter # required by imx-cpufreq-dt.ko clk_bulk_get clk_bulk_put dev_pm_opp_put_supported_hw dev_pm_opp_set_supported_hw nvmem_cell_read_u32 # required by imx-dcnano-drm.ko drm_crtc_vblank_helper_get_vblank_timestamp drm_crtc_vblank_put drm_gem_plane_helper_prepare_fb of_graph_parse_endpoint # required by imx-dcss.ko devm_clk_put devm_iounmap drm_atomic_get_connector_state drm_atomic_helper_check_modeset drm_atomic_helper_check_planes drm_atomic_helper_disable_planes_on_crtc drm_atomic_normalize_zpos drm_bridge_connector_disable_hpd drm_bridge_connector_enable_hpd drm_gem_prime_import drm_plane_create_alpha_property drm_plane_create_color_properties drm_plane_create_rotation_property drm_plane_create_scaling_filter_property drm_property_create_range # required by imx-dsp.ko device_set_of_node_from_dev # required by imx-lcdif-crtc.ko drm_atomic_add_affected_connectors drm_property_destroy drm_self_refresh_helper_cleanup drm_self_refresh_helper_init # required by imx-mailbox.ko devm_mbox_controller_register mbox_chan_received_data mbox_chan_txdone # required by imx-pcm-rpmsg.ko __pm_relax __pm_stay_awake snd_soc_lookup_component wakeup_source_register wakeup_source_unregister # required by imx-sdma.ko gen_pool_dma_alloc of_gen_pool_get # required by imx.ko hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel mctrl_gpio_disable_ms mctrl_gpio_enable_ms mctrl_gpio_get mctrl_gpio_init mctrl_gpio_set rational_best_approximation tty_termios_encode_baud_rate uart_get_divisor uart_handle_cts_change uart_handle_dcd_change # required by imx2_wdt.ko __platform_driver_probe watchdog_set_restart_priority # required by imx8-isi-capture.ko media_graph_walk_cleanup media_graph_walk_init media_graph_walk_next media_graph_walk_start v4l2_fh_open v4l2_g_parm_cap v4l2_s_parm_cap _vb2_fop_release vb2_ioctl_create_bufs vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_prepare_buf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon # required by imx8-isi-mem2mem.ko v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_curr_priv v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_create_bufs v4l2_m2m_ioctl_dqbuf v4l2_m2m_ioctl_expbuf v4l2_m2m_ioctl_prepare_buf v4l2_m2m_ioctl_qbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_next_buf v4l2_m2m_release # required by imx8m_pm_domains.ko of_genpd_add_subdomain # required by imx8mm_thermal.ko thermal_zone_bind_cooling_device # required by imx8mp-ldb.ko devm_of_phy_get # required by imx_dsp_rproc.ko rproc_da_to_va rproc_of_parse_firmware # required by imx_rproc.ko rproc_elf_find_loaded_rsc_table rproc_elf_load_segments # required by imxdrm.ko component_match_add_release # required by industrialio-triggered-buffer.ko iio_alloc_pollfunc iio_dealloc_pollfunc iio_device_id # required by irq-imx-irqsteer.ko irq_domain_xlate_onecell # required by kfifo_buf.ko iio_buffer_init iio_buffer_put __kfifo_to_user # required by leds-gpio.ko devm_fwnode_gpiod_get_index gpiod_cansleep gpiod_set_value # required by leds-pwm.ko devm_fwnode_pwm_get of_fwnode_ops # required by lpa_ctrl.ko sysfs_create_groups # required by mac80211.ko __alloc_percpu_gfp arc4_crypt arc4_setkey call_rcu crc32_be crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_shash crypto_alloc_skcipher __crypto_memneq crypto_shash_digest crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey __crypto_xor dev_fetch_sw_netstats _dev_printk dev_queue_xmit eth_mac_addr get_random_u32 __hw_addr_init __hw_addr_sync __hw_addr_unsync kernel_param_lock kernel_param_unlock kfree_skb_list ktime_get_seconds kvfree kvmalloc_node netdev_set_default_ethtool_ops netif_receive_skb_list prandom_bytes ___pskb_trim rb_next rb_prev rcu_barrier rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert round_jiffies round_jiffies_relative round_jiffies_up skb_checksum_help skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_expand skb_dequeue skb_ensure_writable __skb_get_hash __skb_gso_segment skb_queue_head skb_queue_purge skb_queue_tail system_freezable_wq tasklet_unlock_wait unregister_netdevice_many __usecs_to_jiffies # required by micrel.ko genphy_restart_aneg # required by moal.ko in4_pton init_dummy_netdev iwe_stream_add_event iwe_stream_add_point iwe_stream_add_value iw_handler_get_spy iw_handler_get_thrspy iw_handler_set_spy iw_handler_set_thrspy ktime_get_raw_ts64 mmc_hw_reset mmc_set_data_timeout mmc_wait_for_req __netif_napi_del __netlink_kernel_create netlink_kernel_release panic param_ops_ushort pci_choose_state pci_disable_device pci_disable_msi pci_disable_msix pci_enable_device pci_enable_msi pci_enable_msix_range pci_enable_wake pci_iomap pci_iounmap __pci_register_driver pci_release_region pci_request_region pci_reset_function pci_restore_state pci_save_state pci_set_master pci_set_power_state pci_unregister_driver PDE_DATA prandom_u32 proc_create_data proc_mkdir request_firmware_direct schedule_timeout_uninterruptible sdio_claim_host sdio_claim_irq sdio_disable_func sdio_enable_func sdio_f0_readb sdio_f0_writeb sdio_get_host_pm_caps sdio_readb sdio_readsb sdio_register_driver sdio_release_host sdio_release_irq sdio_set_block_size sdio_set_host_pm_flags sdio_unregister_driver sdio_writeb sdio_writesb skb_realloc_headroom strcat strim strncasecmp vprintk wireless_send_event # required by mpl3115.ko i2c_smbus_read_i2c_block_data iio_trigger_notify_done # required by mtd.ko bdi_alloc bdi_put bdi_register deactivate_locked_super fixed_size_llseek generic_shutdown_super lockref_get logfc lookup_bdev __module_get nvmem_register nvmem_unregister of_prop_next_string proc_create_single_data sget_fc sysfs_remove_files unregister_reboot_notifier # required by mux-core.ko class_find_device device_match_of_node down_killable ida_destroy # required by mux-mmio.ko dev_get_regmap devm_regmap_field_alloc regmap_field_update_bits_base # required by mx6s_capture.ko vb2_read # required by mxc-clk.ko __clk_determine_rate clk_divider_ops clk_divider_ro_ops clk_fractional_divider_ops clk_gate_is_enabled clk_gate_ops __clk_get_hw clk_hw_get_name clk_hw_get_parent_by_index clk_hw_is_enabled clk_hw_register clk_hw_register_composite clk_hw_unregister clk_mux_index_to_val clk_mux_ops clk_mux_ro_ops clk_unregister divider_get_val divider_recalc_rate of_clk_get_from_provider # required by mxc_epdc_v2_fb.ko fb_get_options strncat # required by mxs-dma.ko dmaenginem_async_device_register # required by mxsfb.ko devm_drm_panel_bridge_add_typed drm_atomic_add_affected_planes drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_get_format_info # required by nvmem-imx-ocotp.ko devm_nvmem_register # required by nwl-dsi.ko drm_bridge_chain_enable drm_mode_debug_printmodeline drm_panel_bridge_add_typed drm_panel_bridge_remove phy_configure phy_mipi_dphy_get_default_config phy_validate # required by ofpart.ko of_n_addr_cells of_n_size_cells # required by ov5640.ko v4l2_ctrl_auto_cluster v4l2_ctrl_new_std_menu_items __v4l2_ctrl_s_ctrl_int64 v4l2_ctrl_subdev_log_status v4l2_ctrl_subdev_subscribe_event v4l2_event_subdev_unsubscribe __v4l2_find_nearest_size # required by panel-rocktech-hx8394f.ko mipi_dsi_dcs_write_buffer # required by panel-simple.ko drm_bus_flags_from_videomode drm_connector_set_panel_orientation drm_mode_create drm_panel_dp_aux_backlight of_drm_get_panel_orientation of_find_i2c_adapter_by_node of_get_display_timing videomode_from_timing # required by pca9450-regulator.ko regulator_desc_list_voltage_linear_range regulator_list_voltage_linear_range regulator_set_ramp_delay_regmap # required by pci-imx6.ko dw_pcie_find_capability dw_pcie_find_ext_capability dw_pcie_host_init dw_pcie_link_up dw_pcie_read dw_pcie_read_dbi dw_pcie_setup_rc dw_pcie_wait_for_link dw_pcie_write_dbi pci_msi_enabled phy_calibrate regulator_get_voltage # required by pfuze100-regulator.ko of_regulator_match pm_power_off_prepare rdev_get_id regulator_list_voltage_linear regulator_map_voltage_ascend # required by phy-fsl-imx8mq-usb.ko power_supply_get_by_phandle power_supply_get_property power_supply_put power_supply_reg_notifier power_supply_set_property power_supply_unreg_notifier # required by phy-fsl-samsung-hdmi.ko devm_clk_register of_clk_add_provider of_clk_del_provider of_clk_src_simple_get # required by phy-generic.ko devm_regulator_get_exclusive regulator_set_current_limit # required by pinctrl-imx.ko devm_pinctrl_register_and_init pinconf_generic_parse_dt_config pinctrl_enable pinctrl_force_default pinctrl_force_sleep pinctrl_generic_get_group pinctrl_generic_get_group_count pinctrl_generic_get_group_name pinctrl_generic_get_group_pins pin_get_name pinmux_generic_get_function pinmux_generic_get_function_count pinmux_generic_get_function_groups pinmux_generic_get_function_name radix_tree_insert # required by pwm-rpmsg-imx.ko of_pwm_xlate_with_flags # required by pwm_bl.ko backlight_device_register backlight_device_unregister devm_pwm_get pwm_free pwm_request # required by pwrseq_simple.ko bitmap_alloc devm_gpiod_get_array gpiod_set_array_value_cansleep mmc_pwrseq_register mmc_pwrseq_unregister # required by pxp_device.ko dma_get_sgtable_attrs # required by pxp_dma_v3.ko freezing_slow_path __refrigerator set_freezable system_freezing_cnt # required by qoriq_thermal.ko of_thermal_get_ntrips thermal_zone_of_sensor_unregister # required by realtek.ko genphy_handle_interrupt_no_ack __mdiobus_write phy_basic_t1_features phy_modify_paged phy_modify_paged_changed phy_read_paged phy_restore_page phy_select_page phy_write_paged # required by regmap-i3c.ko dev_to_i3cdev i3c_device_do_priv_xfers # required by reset-dispmix.ko __regmap_init_mmio_clk # required by rpmsg_life_cycle.ko pm_suspend_target_state remove_cpu # required by rpmsg_ns.ko rpmsg_create_channel rpmsg_create_ept rpmsg_release_channel # required by rtc-imx-rpmsg.ko devm_rtc_device_register # required by rtc-snvs.ko devm_rtc_allocate_device __devm_rtc_register_device # required by scmi_pm_domain.ko of_genpd_add_provider_onecell # required by sdhci-esdhc-imx.ko mmc_gpio_get_cd mmc_gpio_get_ro mmc_gpio_set_cd_wake mmc_of_parse mmc_of_parse_voltage mmc_retune_timer_stop mmc_send_tuning pinctrl_select_default_state sdhci_add_host sdhci_cqe_disable sdhci_cqe_enable sdhci_cqe_irq sdhci_dumpregs sdhci_execute_tuning sdhci_pltfm_free sdhci_pltfm_init sdhci_remove_host sdhci_reset sdhci_resume_host sdhci_runtime_resume_host sdhci_runtime_suspend_host sdhci_suspend_host # required by sec-dsim.ko drm_atomic_helper_bridge_propagate_bus_fmt drm_panel_enable drm_panel_get_modes drm_panel_prepare of_drm_find_panel # required by secure_heap.ko deferred_free gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_create gen_pool_destroy # required by snd-soc-fsl-asoc-card.ko snd_soc_dai_set_pll snd_soc_dapm_enable_pin snd_soc_jack_notifier_register # required by snd-soc-fsl-asrc.ko snd_dmaengine_pcm_refine_runtime_hwparams snd_pcm_set_managed_buffer_all snd_soc_lookup_component_nolocked # required by snd-soc-fsl-easrc.ko snd_pcm_format_big_endian snd_pcm_format_linear snd_pcm_format_unsigned snd_soc_info_xr_sx # required by snd-soc-fsl-esai.ko snd_dmaengine_pcm_set_config_from_dai_data snd_hwparams_to_dma_slave_config # required by snd-soc-fsl-micfil.ko kobject_put snd_soc_get_volsw_sx snd_soc_info_volsw_sx snd_soc_put_volsw_sx # required by snd-soc-fsl-spdif.ko regcache_cache_bypass snd_soc_add_dai_controls # required by snd-soc-hdmi-codec.ko snd_ctl_add snd_ctl_new1 snd_pcm_add_chmap_ctls snd_pcm_create_iec958_consumer_default snd_pcm_fill_iec958_consumer snd_pcm_fill_iec958_consumer_hw_params snd_pcm_hw_constraint_eld # required by snd-soc-imx-card.ko snd_interval_refine snd_soc_of_get_dai_link_codecs # required by snd-soc-imx-hdmi.ko snd_pcm_hw_constraint_minmax snd_soc_component_set_jack # required by snd-soc-imx-pcm512x-rpmsg.ko i2c_get_adapter i2c_smbus_read_byte snd_soc_dai_set_bclk_ratio snd_soc_limit_volume # required by snd-soc-imx-rpmsg.ko snd_soc_get_dai_name # required by snd-soc-rpmsg-ak4497.ko gpio_free # required by snd-soc-rpmsg-pcm512x.ko devm_regulator_register_notifier snd_ctl_boolean_stereo_info snd_interval_ranges snd_pcm_hw_constraint_ratnums snd_soc_params_to_frame_size # required by snd-soc-simple-card-utils.ko devm_kvasprintf snd_soc_component_set_sysclk snd_soc_daifmt_clock_provider_from_bitmap snd_soc_dapm_get_pin_switch snd_soc_dapm_info_pin_switch snd_soc_dapm_put_pin_switch snd_soc_jack_add_gpios snd_soc_of_parse_audio_simple_widgets snd_soc_runtime_calc_hw # required by snd-soc-simple-card.ko snd_soc_of_parse_aux_devs snd_soc_of_parse_node_prefix # required by snd-soc-tpa6130a2.ko devm_gpio_request # required by snd-soc-wm8994.ko devm_clk_bulk_get_optional regmap_irq_get_virq regmap_raw_write snd_soc_bytes_get snd_soc_bytes_info snd_soc_bytes_put snd_soc_component_init_regmap snd_soc_dapm_disable_pin_unlocked snd_soc_dapm_force_bias_level snd_soc_dapm_force_enable_pin snd_soc_dapm_force_enable_pin_unlocked snd_soc_dapm_sync snd_soc_dapm_sync_unlocked try_wait_for_completion # required by snd-sof.ko __iowrite32_copy pci_write_config_dword simple_write_to_buffer snd_compr_malloc_pages snd_sgbuf_get_addr snd_soc_find_dai snd_soc_rtdcom_lookup snd_soc_tplg_component_load snd_soc_tplg_component_remove snd_soc_tplg_widget_bind_event strnlen # required by snvs_pwrkey.ko pm_relax # required by soc-imx8m.ko of_root soc_device_register # required by spi-bitbang.ko spi_finalize_current_transfer spi_register_controller spi_unregister_controller # required by spi-imx.ko sg_last # required by spi-nor.ko devm_spi_mem_dirmap_create memory_read_from_buffer spi_mem_adjust_op_size spi_mem_dirmap_read spi_mem_dirmap_write spi_mem_driver_register_with_owner spi_mem_driver_unregister spi_mem_exec_op spi_mem_get_name spi_mem_supports_op # required by spi-nxp-fspi.ko spi_mem_dtr_supports_op # required by spidev.ko spi_setup spi_sync stream_open # required by st_lsm6dsx.ko __devm_iio_device_register iio_read_mount_matrix iio_show_mount_matrix # required by st_lsm6dsx_i3c.ko i3c_device_match_id i3c_driver_register_with_owner i3c_driver_unregister # required by st_lsm6dsx_spi.ko __devm_regmap_init_spi spi_get_device_id # required by synaptics_dsx_i2c.ko input_allocate_device input_free_device input_unregister_device # required by system_heap.ko dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free # required by timer-imx-tpm.ko clocksource_mmio_init clocksource_mmio_readl_up sched_clock_register # required by trusty-ipc.ko _copy_from_iter _copy_to_iter dma_buf_vmap dma_buf_vunmap fget import_iovec strncpy_from_user virtqueue_detach_unused_buf wait_woken woken_wake_function # required by trusty-irq.ko disable_percpu_irq enable_percpu_irq free_percpu_irq irq_create_of_mapping of_irq_find_parent __request_percpu_irq # required by trusty-log.ko panic_notifier_list seq_open seq_release # required by trusty-virtio.ko register_virtio_device unregister_virtio_device vring_del_virtqueue vring_interrupt vring_new_virtqueue # required by ulpi.ko add_uevent_var of_clk_set_defaults of_device_request_module # required by usbserial.ko driver_attach schedule_timeout_interruptible seq_putc __tty_alloc_driver tty_driver_kref_put tty_hangup tty_ldisc_deref tty_ldisc_ref tty_port_close tty_port_destroy tty_port_hangup tty_port_init tty_port_open tty_port_register_device tty_port_tty_wakeup tty_register_driver tty_standard_install tty_std_termios tty_termios_copy_hw tty_unregister_device tty_unregister_driver tty_vhangup usb_alloc_urb usb_deregister usb_driver_claim_interface usb_driver_release_interface usb_free_urb usb_get_dev usb_get_intf usb_kill_urb usb_match_id usb_match_one_id usb_poison_urb usb_put_dev usb_put_intf usb_register_driver usb_show_dynids usb_store_new_id usb_submit_urb usb_unpoison_urb # required by v4l2-async.ko _dev_notice fwnode_handle_get i2c_verify_client # required by v4l2-fwnode.ko fwnode_device_is_available fwnode_get_name fwnode_graph_get_remote_endpoint fwnode_graph_parse_endpoint fwnode_property_get_reference_args kstrdup_const # required by virtio_rpmsg_bus.ko rpmsg_find_device rpmsg_unregister_device virtio_check_driver_offered_feature virtqueue_disable_cb virtqueue_enable_cb # required by vsiv4l2.ko v4l2_event_dequeue v4l2_event_queue_fh v4l2_src_change_event_subscribe vb2_create_bufs vb2_prepare_buf # required by vvcam-video.ko media_entity_remove_links v4l2_ctrl_poll # required by wm8994.ko gpiod_get_raw_value_cansleep irq_create_mapping_affinity irq_domain_xlate_twocell mfd_add_devices mfd_remove_devices regmap_add_irq_chip regmap_del_irq_chip regmap_reinit_cache regulator_bulk_free regulator_bulk_get # required by zram.ko bio_endio bio_end_io_acct_remapped bio_start_io_acct __blk_alloc_disk blk_cleanup_disk blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_has_alg del_gendisk device_add_disk disk_end_io_acct disk_start_io_acct flush_dcache_page free_pages fsync_bdev __get_free_pages __init_rwsem memset64 page_endio __register_blkdev set_capacity set_capacity_and_notify __sysfs_match_string sysfs_streq unregister_blkdev zs_compact zs_create_pool zs_destroy_pool zs_free zs_get_total_pages zs_huge_class_size zs_malloc zs_map_object zs_pool_stats zs_unmap_object # required by zsmalloc.ko alloc_anon_inode __ClearPageMovable contig_page_data dec_zone_page_state inc_zone_page_state init_pseudo iput kern_mount kern_unmount kill_anon_super page_mapping _raw_read_lock _raw_read_unlock _raw_write_lock _raw_write_unlock register_shrinker __SetPageMovable unlock_page unregister_shrinker wait_on_page_bit [abi_symbol_list] add_cpu add_timer add_timer_on add_wait_queue adjust_managed_page_count alarm_cancel alarm_init alarm_start_relative alarmtimer_get_rtcdev alarm_try_to_cancel alloc_anon_inode alloc_chrdev_region alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages alloc_pages_exact __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_workqueue amba_driver_register amba_driver_unregister android_rvh_probe_register anon_inode_getfd arc4_crypt arc4_setkey __arch_clear_user __arch_copy_from_user __arch_copy_to_user arch_timer_read_counter argv_free argv_split arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_smc async_schedule_node_domain async_synchronize_full_domain atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function available_idle_cpu backlight_device_set_brightness balance_push_callback bcmp bin2hex __bitmap_clear __bitmap_equal bitmap_from_arr32 bitmap_parse bitmap_parselist bitmap_print_to_pagebuf bitmap_to_arr32 __bitmap_weight blk_execute_rq_nowait blk_get_request blk_put_request blk_rq_map_user blk_rq_map_user_iov blk_rq_unmap_user blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run10 bpf_trace_run11 bpf_trace_run12 bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 build_skb bus_find_device bus_for_each_dev bus_for_each_drv bus_register bus_register_notifier bus_set_iommu bus_unregister bus_unregister_notifier cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag __check_object_size __class_create class_destroy class_interface_unregister __class_register class_unregister clear_page __ClearPageMovable clk_disable clk_enable clk_get __clk_get_hw __clk_get_name clk_get_rate clk_hw_get_name clk_hw_get_parent __clk_is_enabled clk_prepare clk_put clk_register clk_register_clkdev clk_register_fixed_factor clk_register_fixed_rate clk_register_gate clk_set_rate clk_unprepare clockevents_config_and_register clocks_calc_mult_shift __clocksource_register_scale cma_alloc cma_for_each_area cma_get_name cma_release compat_ptr_ioctl complete complete_all complete_and_exit completion_done component_add component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_unbind_all config_ep_by_speed config_group_init_type_name console_set_on_cmdline console_suspend_enabled console_trylock console_unlock __const_udelay consume_skb contig_page_data _copy_from_iter _copy_to_iter __cpu_active_mask cpu_all_bits cpu_bit_bitmap cpufreq_add_update_util_hook cpufreq_cpu_get cpufreq_cpu_get_raw cpufreq_cpu_put cpufreq_disable_fast_switch cpufreq_driver_fast_switch cpufreq_driver_resolve_freq __cpufreq_driver_target cpufreq_driver_target cpufreq_enable_fast_switch cpufreq_freq_transition_begin cpufreq_freq_transition_end cpufreq_frequency_table_verify cpufreq_generic_attr cpufreq_get cpufreq_policy_transition_delay_us cpufreq_quick_get cpufreq_register_driver cpufreq_register_governor cpufreq_register_notifier cpufreq_remove_update_util_hook cpufreq_this_cpu_can_update __cpuhp_remove_state __cpuhp_setup_state __cpuhp_setup_state_cpuslocked __cpuhp_state_add_instance __cpuhp_state_remove_instance cpuhp_tasks_frozen cpu_hwcap_keys cpu_hwcaps cpu_latency_qos_add_request cpu_latency_qos_remove_request cpu_latency_qos_update_request cpumask_any_but cpumask_next cpumask_next_and cpumask_next_wrap cpu_number __cpu_online_mask cpu_pm_register_notifier __cpu_possible_mask cpupri_find_fitness cpu_scale cpus_read_lock cpus_read_unlock cpu_subsys crc32_be crc32_le crc8 crc8_populate_msb crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_shash crypto_alloc_skcipher crypto_alloc_sync_skcipher crypto_destroy_tfm __crypto_memneq crypto_shash_digest crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey __crypto_xor csum_ipv6_magic csum_tcpudp_nofold _ctype debugfs_attr_read debugfs_attr_write debugfs_create_atomic_t debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_size_t debugfs_create_u16 debugfs_create_u32 debugfs_create_u64 debugfs_create_u8 debugfs_create_x32 debugfs_lookup debugfs_remove debugfs_rename dec_zone_page_state default_llseek deferred_free delayed_work_timer_fn del_timer del_timer_sync desc_to_gpio destroy_workqueue dev_alloc_name __dev_change_net_namespace dev_close _dev_crit dev_driver_string _dev_emerg _dev_err dev_err_probe dev_fetch_sw_netstats devfreq_add_device devfreq_add_governor devfreq_monitor_resume devfreq_monitor_start devfreq_monitor_stop devfreq_monitor_suspend devfreq_recommended_opp devfreq_register_opp_notifier devfreq_remove_device devfreq_unregister_opp_notifier devfreq_update_interval dev_fwnode __dev_get_by_index dev_get_by_index dev_get_by_name device_add device_add_groups device_create device_create_file device_create_managed_software_node device_create_with_groups device_del device_destroy device_find_child device_for_each_child device_get_dma_attr device_initialize device_init_wakeup device_link_add device_link_del device_property_present device_property_read_string device_property_read_u32_array device_register device_remove_file device_remove_groups device_rename device_set_wakeup_capable device_set_wakeup_enable device_unregister device_wakeup_enable _dev_info __dev_kfree_skb_any devm_add_action devm_backlight_device_register devm_backlight_device_unregister devm_blk_ksm_init devm_clk_get devm_clk_get_optional devm_clk_put devm_device_add_groups __devm_drm_dev_alloc devm_drm_panel_bridge_add_typed devm_extcon_dev_allocate devm_extcon_dev_register devm_free_irq devm_gen_pool_create devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_array devm_gpiod_get_optional devm_gpiod_put_array devm_gpio_free devm_gpio_request devm_gpio_request_one devm_i2c_new_dummy_device devm_iio_device_alloc __devm_iio_device_register devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup devm_kstrdup devm_kstrdup_const devm_mfd_add_devices devm_nvmem_register __devm_of_phy_provider_register devm_of_platform_populate devm_phy_create devm_phy_get devm_phy_put devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_pinctrl_register_and_init devm_platform_ioremap_resource devm_platform_ioremap_resource_byname devm_power_supply_register __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_spi devm_regulator_bulk_get devm_regulator_get devm_regulator_get_exclusive devm_regulator_get_optional devm_regulator_put devm_regulator_register __devm_request_region devm_request_threaded_irq devm_rtc_device_register devm_snd_soc_register_component devm_thermal_of_cooling_device_register devm_thermal_zone_of_sensor_register devm_thermal_zone_of_sensor_unregister devm_usb_get_phy_by_phandle _dev_notice dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_opp_add dev_pm_opp_disable dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_freq dev_pm_opp_get_opp_count dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_regulators dev_pm_opp_set_regulators dev_pm_qos_read_value dev_pm_qos_update_request _dev_printk dev_printk_emit dev_queue_xmit devres_add __devres_alloc_node devres_free dev_set_name _dev_warn disable_irq disable_irq_nosync disable_percpu_irq dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_begin_cpu_access_partial dma_buf_detach dma_buf_end_cpu_access dma_buf_end_cpu_access_partial dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dmaengine_unmap_put dma_fence_add_callback dma_fence_context_alloc dma_fence_default_wait dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_wait_timeout dma_free_attrs dma_get_slave_caps dma_get_slave_channel dma_heap_add dma_heap_buffer_alloc dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_heap_put dmam_alloc_attrs dma_map_page_attrs dma_map_resource dma_map_sg_attrs dma_map_sgtable dma_mmap_attrs dma_release_channel dma_request_chan dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs do_SAK do_trace_netlink_extack do_wait_intr_irq down downgrade_write down_interruptible down_read down_read_trylock down_trylock down_write drain_workqueue driver_create_file driver_register driver_remove_file driver_unregister drm_add_modes_noedid drm_atomic_add_affected_connectors drm_atomic_add_affected_planes drm_atomic_commit drm_atomic_get_connector_state drm_atomic_get_crtc_state drm_atomic_get_new_connector_for_encoder drm_atomic_get_old_connector_for_encoder drm_atomic_get_plane_state drm_atomic_get_private_obj_state drm_atomic_helper_bridge_destroy_state drm_atomic_helper_bridge_duplicate_state drm_atomic_helper_bridge_reset drm_atomic_helper_check_modeset drm_atomic_helper_check_planes drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_cleanup_done drm_atomic_helper_commit_duplicated_state drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_commit_tail __drm_atomic_helper_connector_destroy_state __drm_atomic_helper_connector_duplicate_state __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state __drm_atomic_helper_crtc_reset drm_atomic_helper_disable_plane drm_atomic_helper_duplicate_state drm_atomic_helper_fake_vblank drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_prepare_planes __drm_atomic_helper_private_obj_duplicate_state drm_atomic_helper_set_config drm_atomic_helper_setup_commit drm_atomic_helper_shutdown drm_atomic_helper_swap_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_dependencies drm_atomic_helper_wait_for_fences drm_atomic_helper_wait_for_flip_done drm_atomic_nonblocking_commit drm_atomic_normalize_zpos drm_atomic_private_obj_fini drm_atomic_private_obj_init drm_atomic_set_crtc_for_connector drm_atomic_set_crtc_for_plane drm_atomic_set_fb_for_plane drm_atomic_set_mode_prop_for_crtc drm_atomic_state_alloc drm_atomic_state_clear __drm_atomic_state_free drm_bridge_add drm_bridge_attach drm_bridge_chain_mode_set drm_bridge_remove drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_connector_register drm_connector_unregister drm_crtc_arm_vblank_event drm_crtc_cleanup __drm_crtc_commit_free drm_crtc_enable_color_mgmt drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_count_and_time drm_crtc_vblank_get drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_put drm_crtc_wait_one_vblank __drm_dbg drm_dev_put drm_dev_register drm_dev_unregister drm_display_mode_to_videomode drm_encoder_cleanup drm_encoder_init __drm_err drm_event_cancel_free drm_event_reserve_init drm_format_info drm_framebuffer_init drm_gem_create_mmap_offset drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_handle_create drm_gem_mmap drm_gem_object_free drm_gem_object_lookup drm_gem_object_release drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_import_dev drm_gem_private_object_init drm_gem_vm_close drm_gem_vm_open drm_get_format_info drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drm_kms_helper_hotplug_event drm_kms_helper_poll_fini drm_kms_helper_poll_init drmm_kmalloc drmm_mode_config_init drm_mode_config_reset drm_mode_convert_to_umode drm_mode_duplicate drm_mode_equal drm_mode_equal_no_clocks drm_mode_object_find drm_mode_object_get drm_mode_object_put drm_mode_probed_add drm_modeset_acquire_fini drm_modeset_acquire_init drm_modeset_backoff drm_modeset_drop_locks drm_modeset_lock drm_modeset_lock_all_ctx drm_modeset_unlock drm_mode_vrefresh drm_object_attach_property drm_open drm_panel_add drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_init drm_panel_prepare drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_plane_create_alpha_property drm_plane_create_blend_mode_property drm_plane_create_rotation_property drm_plane_create_zpos_immutable_property drm_plane_create_zpos_property drm_poll drm_prime_gem_destroy drm_printf __drm_printfn_debug __drm_printfn_info __drm_printfn_seq_file drm_property_blob_get drm_property_blob_put drm_property_create drm_property_create_bitmask drm_property_create_blob drm_property_create_bool drm_property_create_enum drm_property_create_range drm_property_create_signed_range drm_property_lookup_blob drm_property_replace_blob drm_puts __drm_puts_seq_file drm_read drm_rect_clip_scaled drm_rect_intersect drm_release drm_rotation_simplify drm_self_refresh_helper_alter_state drm_send_event drm_send_event_locked drm_universal_plane_init drm_vblank_init drm_writeback_connector_init drm_writeback_queue_job drm_writeback_signal_completion dump_backtrace dump_stack dw_handle_msi_irq dw_pcie_host_init dw_pcie_read dw_pcie_setup_rc dw_pcie_write __dynamic_dev_dbg __dynamic_pr_debug em_cpu_get emergency_restart enable_irq enable_percpu_irq ether_setup eth_mac_addr ethtool_op_get_link eth_type_trans eventfd_ctx_fdget eventfd_ctx_put eventfd_signal event_triggers_call extcon_get_edev_by_phandle extcon_get_property extcon_get_state extcon_register_notifier extcon_set_property extcon_set_property_capability extcon_set_state_sync extcon_unregister_notifier fasync_helper __fdget fd_install fget find_extend_vma _find_first_bit _find_first_zero_bit find_get_pid _find_next_bit find_pid_ns find_task_by_vpid __find_vma finish_wait flush_delayed_work flush_work flush_workqueue fput frame_vector_create frame_vector_destroy frame_vector_to_pages free_irq free_netdev __free_pages free_pages free_pages_exact free_percpu free_percpu_irq freezing_slow_path freq_qos_add_request freq_qos_remove_request freq_qos_update_request full_name_hash fwnode_get_name fwnode_gpiod_get_index gcd generic_file_llseek generic_handle_domain_irq generic_iommu_put_resv_regions genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_create gen_pool_destroy gen_pool_first_fit_align gen_pool_free_owner gen_pool_size get_cpu_device get_cpu_idle_time get_cpu_idle_time_us get_cpu_iowait_time_us get_device __get_free_pages get_governor_parent_kobj get_net_ns_by_fd get_net_ns_by_pid get_pid_task get_random_bytes get_random_u32 get_sg_io_hdr get_thermal_instance get_unused_fd_flags get_user_pages get_user_pages_fast get_vaddr_frames gic_nonsecure_priorities glob_match gov_attr_set_get gov_attr_set_init gov_attr_set_put governor_sysfs_ops gpiochip_generic_config gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_lock_as_irq gpiochip_unlock_as_irq gpiod_count gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_raw_value gpiod_get_raw_value_cansleep gpiod_get_value gpiod_get_value_cansleep gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_request_one gpio_to_desc gserial_alloc_line gserial_connect gserial_disconnect handle_edge_irq handle_level_irq handle_nested_irq handle_simple_irq handle_sysrq have_governor_per_policy hex2bin hex_dump_to_buffer hex_to_bin hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_init_sleeper hrtimer_sleeper_start_expires hrtimer_start_range_ns hrtimer_try_to_cancel __hw_addr_init __hw_addr_sync __hw_addr_unsync hwrng_register hwrng_unregister i2c_adapter_type i2c_add_numbered_adapter i2c_bus_type i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_get_adapter i2c_match_id i2c_new_ancillary_device i2c_new_client_device i2c_new_dummy_device i2c_put_adapter i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_write_byte_data i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client ida_alloc_range ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove ieee802154_alloc_hw ieee802154_free_hw ieee802154_register_hw ieee802154_rx_irqsafe ieee802154_unregister_hw ieee802154_wake_queue ieee802154_xmit_complete iio_device_unregister import_iovec in6_pton in_aton inc_zone_page_state inet_csk_get_port init_dummy_netdev init_net init_pid_ns init_pseudo __init_rwsem __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_close_device input_event input_free_device input_mt_destroy_slots input_mt_init_slots input_mt_report_slot_state input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_set_capability input_set_timestamp input_unregister_device input_unregister_handle input_unregister_handler int_sqrt iomem_resource iommu_alloc_resv_region iommu_attach_group iommu_aux_attach_device iommu_aux_detach_device iommu_aux_get_pasid iommu_dev_enable_feature iommu_dev_feature_enabled iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unlink iommu_device_unregister iommu_dma_enable_best_fit_algo iommu_domain_alloc iommu_domain_free iommu_fwspec_add_ids iommu_fwspec_free iommu_get_dma_cookie iommu_get_domain_for_dev iommu_group_alloc iommu_group_for_each_dev iommu_group_get iommu_group_get_iommudata iommu_group_put iommu_group_set_iommudata iommu_group_set_name iommu_iova_to_phys iommu_map iommu_map_sg iommu_put_dma_cookie iommu_register_device_fault_handler iommu_report_device_fault iommu_set_fault_handler iommu_unmap iommu_unregister_device_fault_handler __ioremap io_schedule_timeout iounmap iov_iter_bvec ip_send_check iput __irq_alloc_descs irq_create_mapping_affinity irq_create_of_mapping __irq_domain_add irq_domain_remove irq_domain_xlate_twocell irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity irq_set_affinity_hint irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_type irq_set_irq_wake irq_work_queue irq_work_sync is_vmalloc_addr jiffies64_to_msecs jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_cpustat kernel_kobj kernel_param_lock kernel_param_unlock kernel_restart kern_mount kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_init __kfifo_out __kfifo_out_peek kfree kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kill_fasync kimage_voffset __kmalloc kmalloc_caches kmalloc_order kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kobject_add kobject_create_and_add kobject_del kobject_init kobject_init_and_add kobject_put kobject_uevent kobject_uevent_env kobj_sysfs_ops krealloc kstat kstrdup kstrndup kstrtobool kstrtobool_from_user kstrtoint kstrtoint_from_user kstrtol_from_user kstrtoll kstrtos8 kstrtou16 kstrtou8 kstrtou8_from_user kstrtouint kstrtouint_from_user kstrtoull kstrtoull_from_user kthread_bind kthread_bind_mask kthread_cancel_delayed_work_sync kthread_cancel_work_sync kthread_create_on_node kthread_create_worker kthread_delayed_work_timer_fn kthread_destroy_worker kthread_flush_work kthread_flush_worker __kthread_init_worker kthread_mod_delayed_work kthread_queue_work kthread_should_stop kthread_stop kthread_worker_fn ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_seconds ktime_get_snapshot ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node led_classdev_register_ext led_classdev_unregister __list_add_valid __list_del_entry_valid list_sort __local_bh_enable_ip __lock_page log_abnormal_wakeup_reason log_threaded_irq_wakeup_reason loops_per_jiffy mac_pton mbox_chan_received_data mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memdup_user_nul memmove memparse memremap mem_section memset memstart_addr memunmap mfd_add_devices mfd_remove_devices mipi_dsi_attach mipi_dsi_compression_mode mipi_dsi_create_packet mipi_dsi_dcs_read mipi_dsi_dcs_set_column_address mipi_dsi_dcs_set_display_brightness mipi_dsi_dcs_set_page_address mipi_dsi_dcs_write_buffer mipi_dsi_detach mipi_dsi_device_register_full mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_host_register mipi_dsi_host_unregister mipi_dsi_packet_format_is_long mipi_dsi_picture_parameter_set misc_deregister misc_register __mmdrop mod_delayed_work_on mod_timer __module_get module_layout module_put __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock __napi_alloc_skb napi_complete_done napi_disable napi_enable napi_gro_receive __napi_schedule napi_schedule_prep __netdev_alloc_skb netdev_err netdev_info netdev_set_default_ethtool_ops netdev_state_change netdev_update_features netif_carrier_off netif_carrier_on netif_napi_add __netif_napi_del netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast __netlink_kernel_create netlink_kernel_release netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations net_ratelimit nla_find nla_memcpy __nla_parse nla_put_64bit nla_put nla_put_nohdr nla_reserve nla_strscpy __nla_validate __nlmsg_put no_llseek nonseekable_open noop_llseek nr_cpu_ids nr_irqs nsec_to_clock_t ns_to_timespec64 __num_online_cpus nvmem_device_put nvmem_device_read nvmem_device_write of_address_to_resource of_alias_get_id of_clk_add_provider of_clk_get of_clk_get_by_name of_clk_src_onecell_get of_count_phandle_with_args of_cpu_node_to_id of_devfreq_cooling_register_power of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_controller_free of_dma_controller_register of_dma_is_coherent of_drm_find_bridge of_drm_find_panel of_find_backlight_by_node of_find_compatible_node of_find_device_by_node of_find_i2c_adapter_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_phandle of_find_node_by_type of_find_node_opts_by_path of_find_property of_fwnode_ops of_genpd_add_provider_simple of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_property of_get_regulator_init_data of_iomap of_irq_find_parent of_irq_get of_irq_get_byname of_irq_parse_one of_machine_is_compatible of_match_device of_match_node of_n_addr_cells of_node_name_eq of_n_size_cells of_nvmem_device_get of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_u64_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u64_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_root of_thermal_get_ntrips of_thermal_get_trip_points of_thermal_is_trip_valid of_translate_address of_usb_host_tpl_support page_mapping panic panic_notifier_list param_array_ops param_ops_bool param_ops_byte param_ops_charp param_ops_int param_ops_long param_ops_string param_ops_uint param_ops_ulong pci_alloc_irq_vectors_affinity pci_assign_resource pci_clear_master pci_disable_device pci_enable_device pci_enable_wake pci_find_bus pci_find_capability pci_find_ext_capability pci_free_irq_vectors pci_get_device pci_load_and_free_saved_state pci_load_saved_state pci_read_config_dword pci_read_config_word __pci_register_driver pci_release_regions pci_rescan_bus pci_restore_msi_state pci_restore_state pci_save_state pci_set_master pci_set_power_state pci_store_saved_state pci_unregister_driver pci_wake_from_d3 pci_write_config_dword pci_write_config_word PDE_DATA __per_cpu_offset perf_event_create_kernel_counter perf_event_disable perf_event_enable perf_event_pause perf_event_read_local perf_event_read_value perf_event_release_kernel perf_event_update_userpage perf_pmu_migrate_context perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_init phy_power_off phy_power_on pid_task pinconf_generic_dt_free_map pinconf_generic_dt_node_to_map pinctrl_add_gpio_range pinctrl_dev_get_drvdata pinctrl_enable pinctrl_force_sleep pinctrl_lookup_state pinctrl_remove_gpio_range pinctrl_select_state pin_get_name pin_user_pages pin_user_pages_fast pin_user_pages_remote pktgen_xfrm_outer_mode_output pkvm_iommu_finalize pkvm_iommu_resume pkvm_iommu_s2mpu_register pkvm_iommu_suspend pkvm_iommu_sysmmu_sync_register platform_bus_type platform_device_add platform_device_add_data platform_device_add_resources platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_find_device_by_driver platform_get_irq platform_get_irq_byname platform_get_resource platform_get_resource_byname platform_irq_count pm_genpd_add_subdomain pm_genpd_init pm_power_off __pm_relax pm_relax pm_runtime_allow __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle pm_runtime_irq_safe __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_wakeup_dev_event pm_wakeup_ws_event power_supply_changed power_supply_get_by_name power_supply_get_by_phandle_array power_supply_get_drvdata power_supply_get_property power_supply_put power_supply_register power_supply_reg_notifier power_supply_set_property power_supply_unregister power_supply_unreg_notifier pps_event pps_register_source pps_unregister_source prandom_bytes prandom_u32 preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event print_hex_dump _printk _printk_deferred proc_create proc_create_data proc_create_single_data proc_dointvec proc_dostring proc_douintvec_minmax proc_mkdir proc_mkdir_data proc_remove pskb_expand_head __pskb_pull_tail ___pskb_trim put_device __put_net __put_page put_pid put_sg_io_hdr __put_task_struct put_unused_fd put_vaddr_frames queue_delayed_work_on queue_work_on ___ratelimit raw_notifier_call_chain raw_notifier_chain_register _raw_read_lock _raw_read_lock_bh _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave raw_spin_rq_lock_nested raw_spin_rq_unlock _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_irq _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_irq _raw_write_unlock_irqrestore rb_erase rb_first rb_insert_color rb_next rb_prev rb_replace_node rcu_barrier __rcu_read_lock __rcu_read_unlock rdev_get_drvdata rdev_get_id refcount_warn_saturate __refrigerator regcache_cache_only regcache_drop_region regcache_mark_dirty regcache_sync __register_chrdev register_chrdev_region register_console register_die_notifier register_inet6addr_notifier register_inetaddr_notifier register_kernel_break_hook register_netdev register_netdevice register_netdevice_notifier register_oom_notifier register_pernet_device register_pernet_subsys register_pm_notifier register_reboot_notifier register_restart_handler register_shrinker register_syscore_ops register_sysctl register_sysctl_table register_virtio_device register_virtio_driver regmap_async_complete regmap_bulk_read regmap_bulk_write regmap_get_device regmap_multi_reg_write regmap_multi_reg_write_bypassed regmap_raw_read regmap_raw_write regmap_raw_write_async regmap_read regmap_register_patch regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_get regulator_get_optional regulator_get_voltage_sel_regmap regulator_is_enabled regulator_is_enabled_regmap regulator_list_voltage_linear regulator_map_voltage_linear regulator_notifier_call_chain regulator_put regulator_register regulator_set_voltage regulator_set_voltage_sel_regmap regulator_unregister release_firmware __release_region remap_pfn_range remap_vmalloc_range remove_cpu remove_proc_entry remove_wait_queue request_firmware request_firmware_nowait __request_percpu_irq __request_region request_threaded_irq return_address rfkill_alloc rfkill_blocked rfkill_destroy rfkill_init_sw_state rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert root_task_group round_jiffies round_jiffies_relative round_jiffies_up rps_needed rtc_class_close rtc_class_open rtc_read_time rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtc_valid_tm __rt_mutex_init rt_mutex_lock rt_mutex_trylock rt_mutex_unlock rtnl_is_locked rtnl_lock rtnl_unlock runqueues sched_clock sched_feat_keys sched_setattr_nocheck sched_setscheduler sched_setscheduler_nocheck sched_show_task sched_trace_cfs_rq_avg sched_trace_cfs_rq_cpu sched_trace_cfs_rq_path sched_trace_rd_span sched_trace_rq_avg_dl sched_trace_rq_avg_irq sched_trace_rq_avg_rt sched_trace_rq_cpu sched_uclamp_used schedule schedule_timeout schedule_timeout_interruptible scnprintf scsi_autopm_get_device scsi_autopm_put_device scsi_block_requests scsi_block_when_processing_errors scsi_cmd_allowed scsi_command_size_tbl scsi_device_get scsi_device_put scsi_ioctl scsi_ioctl_block_when_processing_errors scsi_normalize_sense __scsi_print_sense scsi_register_interface scsi_unblock_requests sdev_prefix_printk seq_hex_dump seq_lseek seq_open __seq_open_private seq_printf seq_putc seq_puts seq_read seq_release seq_release_private seq_write set_cpus_allowed_ptr set_freezable set_page_dirty set_page_dirty_lock __SetPageMovable sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_next __sg_page_iter_next __sg_page_iter_start shmem_file_setup simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtol simple_strtoll simple_strtoul simple_strtoull simple_write_to_buffer single_open single_open_size single_release skb_add_rx_frag skb_checksum skb_checksum_help skb_clone skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_dequeue_tail skb_ensure_writable __skb_get_hash __skb_gso_segment skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_trim skip_spaces smp_call_function smp_call_function_single smp_call_on_cpu snd_compr_stop_error snd_ctl_add snd_ctl_boolean_mono_info snd_ctl_enum_info snd_ctl_new1 snd_ctl_notify snd_info_create_card_entry snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_width snd_pcm_hw_constraint_list snd_pcm_lib_free_pages snd_pcm_lib_ioctl snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_pages snd_pcm_period_elapsed snd_soc_add_component_controls snd_soc_add_dai_controls snd_soc_bytes_tlv_callback snd_soc_card_get_kcontrol snd_soc_card_jack_new snd_soc_component_disable_pin snd_soc_component_force_enable_pin snd_soc_component_set_jack snd_soc_component_set_pll snd_soc_component_set_sysclk snd_soc_daifmt_clock_provider_from_bitmap snd_soc_daifmt_parse_clock_provider_raw snd_soc_daifmt_parse_format snd_soc_dai_set_pll snd_soc_dai_set_sysclk snd_soc_dai_set_tdm_slot snd_soc_dapm_add_routes snd_soc_dapm_get_enum_double snd_soc_dapm_get_volsw snd_soc_dapm_ignore_suspend snd_soc_dapm_kcontrol_widget snd_soc_dapm_mixer_update_power snd_soc_dapm_new_controls snd_soc_dapm_put_enum_double snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_get_enum_double snd_soc_get_volsw snd_soc_get_volsw_range snd_soc_info_enum_double snd_soc_info_volsw snd_soc_info_volsw_range snd_soc_new_compress snd_soc_of_get_dai_link_codecs snd_soc_of_get_dai_name snd_soc_of_parse_card_name snd_soc_params_to_bclk snd_soc_put_enum_double snd_soc_put_volsw snd_soc_put_volsw_range snd_soc_register_card snd_soc_register_component snd_soc_runtime_set_dai_fmt snd_soc_unregister_card snd_soc_unregister_component snprintf soc_device_register __sock_create sock_release sock_wfree softnet_data sort __spi_alloc_controller spi_bus_lock spi_bus_unlock spi_controller_resume spi_controller_suspend spi_delay_exec spi_finalize_current_message spi_register_controller __spi_register_driver spi_setup spi_sync spi_sync_locked spi_unregister_controller split_page sprintf sprint_symbol srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister sscanf __stack_chk_fail static_key_disable static_key_slow_dec static_key_slow_inc stop_machine strcasecmp strcat strchr strcmp strcpy strcspn stream_open strim strlcat strlcpy strlen strncasecmp strncat strncmp strncpy strncpy_from_user strndup_user strnlen strnstr strpbrk strrchr strscpy strsep strspn strstr subsys_system_register __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu syscon_regmap_lookup_by_phandle sysctl_sched_features sysctl_sched_latency sysfs_add_file_to_group sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_groups sysfs_create_link sysfs_emit sysfs_emit_at sysfs_notify sysfs_remove_file_ns sysfs_remove_group sysfs_remove_groups sysfs_remove_link sysfs_streq sysfs_update_group sysrq_mask system_freezable_wq system_freezing_cnt system_highpri_wq system_long_wq system_power_efficient_wq system_state system_unbound_wq system_wq sys_tz task_active_pid_ns tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait task_may_not_preempt __task_pid_nr_ns task_rq_lock tcpci_get_tcpm_port tcpci_irq tcpci_register_port tcpci_unregister_port tcpm_cc_change tcpm_is_debouncing tcpm_pd_hard_reset tcpm_pd_receive tcpm_pd_transmit_complete tcpm_sink_frs tcpm_sourcing_vbus tcpm_update_sink_capabilities tcpm_vbus_change thermal_cdev_update thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_zone_device_disable thermal_zone_device_enable thermal_zone_device_register thermal_zone_device_unregister thermal_zone_device_update thermal_zone_get_temp thermal_zone_of_sensor_register thermal_zone_of_sensor_unregister thread_group_cputime_adjusted tick_nohz_get_idle_calls_cpu time64_to_tm topology_set_thermal_pressure _totalram_pages touch_softlockup_watchdog trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_check_preempt_wakeup __traceiter_android_rvh_cpu_cgroup_online __traceiter_android_rvh_cpu_overutilized __traceiter_android_rvh_dequeue_task __traceiter_android_rvh_find_energy_efficient_cpu __traceiter_android_rvh_irqs_disable __traceiter_android_rvh_irqs_enable __traceiter_android_rvh_post_init_entity_util_avg __traceiter_android_rvh_preempt_disable __traceiter_android_rvh_preempt_enable __traceiter_android_rvh_sched_fork __traceiter_android_rvh_select_task_rq_rt __traceiter_android_rvh_set_iowait __traceiter_android_rvh_uclamp_eff_get __traceiter_android_rvh_ufs_reprogram_all_keys __traceiter_android_rvh_util_est_update __traceiter_android_vh_arch_set_freq_scale __traceiter_android_vh_cpu_idle_enter __traceiter_android_vh_cpu_idle_exit __traceiter_android_vh_ipi_stop __traceiter_android_vh_scheduler_tick __traceiter_android_vh_setscheduler_uclamp __traceiter_android_vh_sysrq_crash __traceiter_android_vh_try_to_freeze_todo_logging __traceiter_android_vh_ufs_check_int_errors __traceiter_android_vh_ufs_compl_command __traceiter_android_vh_ufs_fill_prdt __traceiter_android_vh_ufs_prepare_command __traceiter_android_vh_ufs_send_command __traceiter_android_vh_ufs_send_tm_command __traceiter_android_vh_ufs_send_uic_command __traceiter_android_vh_ufs_update_sysfs __traceiter_clock_set_rate __traceiter_cpu_frequency __traceiter_device_pm_callback_end __traceiter_device_pm_callback_start __traceiter_gpu_mem_total __traceiter_pelt_cfs_tp __traceiter_pelt_dl_tp __traceiter_pelt_irq_tp __traceiter_pelt_rt_tp __traceiter_pelt_se_tp __traceiter_sched_cpu_capacity_tp __traceiter_sched_overutilized_tp __traceiter_sched_switch __traceiter_sched_util_est_cfs_tp __traceiter_sched_util_est_se_tp __traceiter_suspend_resume trace_output_call __tracepoint_android_rvh_check_preempt_wakeup __tracepoint_android_rvh_cpu_cgroup_online __tracepoint_android_rvh_cpu_overutilized __tracepoint_android_rvh_dequeue_task __tracepoint_android_rvh_find_energy_efficient_cpu __tracepoint_android_rvh_irqs_disable __tracepoint_android_rvh_irqs_enable __tracepoint_android_rvh_post_init_entity_util_avg __tracepoint_android_rvh_preempt_disable __tracepoint_android_rvh_preempt_enable __tracepoint_android_rvh_sched_fork __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_set_iowait __tracepoint_android_rvh_uclamp_eff_get __tracepoint_android_rvh_ufs_reprogram_all_keys __tracepoint_android_rvh_util_est_update __tracepoint_android_vh_arch_set_freq_scale __tracepoint_android_vh_cpu_idle_enter __tracepoint_android_vh_cpu_idle_exit __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_setscheduler_uclamp __tracepoint_android_vh_sysrq_crash __tracepoint_android_vh_try_to_freeze_todo_logging __tracepoint_android_vh_ufs_check_int_errors __tracepoint_android_vh_ufs_compl_command __tracepoint_android_vh_ufs_fill_prdt __tracepoint_android_vh_ufs_prepare_command __tracepoint_android_vh_ufs_send_command __tracepoint_android_vh_ufs_send_tm_command __tracepoint_android_vh_ufs_send_uic_command __tracepoint_android_vh_ufs_update_sysfs __tracepoint_clock_set_rate __tracepoint_cpu_frequency __tracepoint_device_pm_callback_end __tracepoint_device_pm_callback_start __tracepoint_gpu_mem_total __tracepoint_pelt_cfs_tp __tracepoint_pelt_dl_tp __tracepoint_pelt_irq_tp __tracepoint_pelt_rt_tp __tracepoint_pelt_se_tp tracepoint_probe_register tracepoint_probe_unregister __tracepoint_sched_cpu_capacity_tp __tracepoint_sched_overutilized_tp __tracepoint_sched_switch __tracepoint_sched_util_est_cfs_tp __tracepoint_sched_util_est_se_tp __tracepoint_suspend_resume trace_print_array_seq trace_print_bitmask_seq trace_print_flags_seq trace_print_hex_seq trace_print_symbols_seq trace_raw_output_prep try_module_get try_to_del_timer_sync tty_flip_buffer_push tty_insert_flip_string_fixed_flag tty_kref_put tty_port_tty_get typec_switch_get_drvdata typec_switch_register typec_switch_unregister uart_add_one_port uart_console_device uart_console_write uart_get_baud_rate uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort uclamp_eff_value __udelay udp4_hwcsum ufshcd_bkops_ctrl ufshcd_hold ufshcd_pltfrm_init ufshcd_query_attr_retry ufshcd_query_flag_retry ufshcd_read_desc_param ufshcd_release ufshcd_remove ufshcd_shutdown ufshcd_system_resume ufshcd_system_suspend unlock_page unmap_mapping_range unpin_user_page __unregister_chrdev unregister_chrdev_region unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_oom_notifier unregister_pernet_device unregister_pernet_subsys unregister_pm_notifier unregister_reboot_notifier unregister_shrinker unregister_syscore_ops unregister_sysctl_table unregister_virtio_device unregister_virtio_driver up update_devfreq __update_load_avg_blocked_se update_rq_clock up_read up_write usb_add_function usb_add_hcd usb_copy_descriptors __usb_create_hcd usb_disabled usb_enable_autosuspend usb_ep_autoconfig usb_function_register usb_function_unregister usb_gadget_activate usb_gadget_deactivate usb_gadget_set_state usb_hcd_is_primary_hcd usb_hcd_platform_shutdown usb_hub_find_child usb_interface_id usb_otg_state_string usb_put_function_instance usb_put_hcd usb_register_notify usb_remove_hcd usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_unregister usb_speed_string usb_string_id usb_unregister_notify __usecs_to_jiffies usleep_range_state v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_log_status v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_device_register v4l2_device_unregister v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_open v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_curr_priv v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_dqbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_next_buf v4l2_m2m_qbuf v4l2_m2m_release vabits_actual vb2_buffer_done vb2_common_vm_ops vb2_create_framevec vb2_destroy_framevec vb2_dma_sg_memops vb2_dqbuf vb2_fop_mmap vb2_fop_poll vb2_fop_read vb2_fop_release vb2_ioctl_create_bufs vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon vb2_mmap vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_poll vb2_qbuf vb2_querybuf vb2_queue_init vb2_queue_release vb2_reqbufs vb2_streamoff vb2_streamon vb2_vmalloc_memops vb2_wait_for_all_buffers verify_pkcs7_signature vfree video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 __video_register_device video_unregister_device virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_detach_unused_buf virtqueue_get_buf virtqueue_get_vring_size virtqueue_kick virtqueue_kick_prepare virtqueue_notify vmalloc vmalloc_to_page vmalloc_user vmap vmf_insert_pfn_prot vm_map_pages vm_map_ram vm_unmap_ram vprintk vring_del_virtqueue vring_interrupt vring_new_virtqueue vsnprintf vunmap vzalloc vzalloc_node wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_timeout wait_woken __wake_up __wake_up_locked wake_up_process wakeup_source_add wakeup_source_create wakeup_source_destroy wakeup_source_register wakeup_source_unregister __wake_up_sync __warn_printk watchdog_init_timeout watchdog_register_device watchdog_set_restart_priority watchdog_unregister_device wireless_nlevent_flush woken_wake_function work_busy __xfrm_state_destroy xfrm_state_lookup_byspi xfrm_stateonly_find xhci_gen_setup xhci_init_driver xhci_resume xhci_run xhci_suspend [abi_symbol_list] add_timer add_uevent_var add_wait_queue alarmtimer_get_rtcdev alg_test alloc_anon_inode alloc_chrdev_region alloc_contig_range alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages alloc_pages_exact __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_workqueue anon_inode_getfd anon_inode_getfile arc4_crypt arc4_setkey __arch_clear_user __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_hvc __arm_smccc_smc atomic_notifier_chain_register atomic_notifier_chain_unregister backlight_device_register backlight_device_unregister baswap bcmp bio_endio bio_end_io_acct_remapped bio_start_io_acct __bitmap_clear bitmap_find_free_region bitmap_find_next_zero_area_off bitmap_from_arr32 bitmap_release_region __bitmap_set bitmap_to_arr32 __bitmap_weight __blk_alloc_disk blk_cleanup_disk blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister block_is_partially_uptodate bpf_trace_run10 bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 __bread_gfp __brelse bt_err bt_info bt_procfs_cleanup bt_procfs_init bt_sock_link bt_sock_register bt_sock_unlink bt_sock_unregister buffer_migrate_page bus_find_device bus_for_each_dev bus_register bus_register_notifier bus_set_iommu bus_unregister bus_unregister_notifier cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init cec_allocate_adapter cec_delete_adapter cec_received_msg_ts cec_register_adapter cec_transmit_attempt_done_ts cec_transmit_done_ts cec_unregister_adapter __cfi_slowpath_diag __check_object_size __class_create class_destroy class_find_device __class_register class_unregister clear_inode clear_page __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_put_all clk_bulk_unprepare clk_disable clk_divider_ops clk_enable clk_gate_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_hw_get_flags clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_is_prepared clk_hw_set_parent __clk_is_enabled clk_mux_ops clk_prepare clk_put clk_register clk_register_composite clk_register_divider_table clk_register_fixed_factor clk_register_fixed_rate clk_register_gate clk_round_rate clk_set_parent clk_set_rate clk_unprepare cma_alloc cma_for_each_area cma_get_name cma_release compat_ptr_ioctl complete complete_all completion_done component_add component_bind_all component_del component_master_add_with_match component_match_add_release component_unbind_all console_printk console_suspend_enabled __const_udelay consume_skb contig_page_data cpu_bit_bitmap cpufreq_cpu_get cpufreq_cpu_get_raw cpufreq_dbs_governor_exit cpufreq_dbs_governor_init cpufreq_dbs_governor_limits cpufreq_dbs_governor_start cpufreq_dbs_governor_stop __cpufreq_driver_target cpufreq_generic_attr cpufreq_generic_frequency_table_verify cpufreq_quick_get_max cpufreq_register_driver cpufreq_register_governor cpufreq_table_index_unsorted cpufreq_unregister_governor cpufreq_update_policy __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpumask_next cpu_number __cpu_online_mask __cpu_possible_mask cpus_read_lock cpus_read_unlock crc32_be crc32_le create_empty_buffers crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_base crypto_alloc_shash crypto_alloc_skcipher crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg __crypto_memneq crypto_register_alg crypto_shash_digest crypto_shash_final crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey crypto_unregister_alg crypto_unregister_scomp __crypto_xor _ctype d_add d_add_ci dbs_update debugfs_attr_read debugfs_attr_write debugfs_create_bool debugfs_create_devm_seqfile debugfs_create_dir debugfs_create_file debugfs_create_regset32 debugfs_create_symlink debugfs_create_u32 debugfs_create_u64 debugfs_create_u8 debugfs_create_x32 debugfs_remove debugfs_rename dec_zone_page_state default_llseek deferred_free delayed_work_timer_fn del_gendisk del_timer del_timer_sync desc_to_gpio destroy_workqueue _dev_alert dev_alloc_name __dev_change_net_namespace dev_close dev_coredumpv _dev_crit dev_driver_string _dev_emerg _dev_err dev_fetch_sw_netstats devfreq_add_governor devfreq_get_devfreq_by_phandle devfreq_monitor_resume devfreq_monitor_start devfreq_monitor_stop devfreq_monitor_suspend devfreq_recommended_opp devfreq_remove_governor devfreq_resume_device devfreq_suspend_device devfreq_update_interval dev_fwnode __dev_get_by_index dev_get_by_index device_add device_add_disk device_create device_create_file device_del device_destroy device_for_each_child device_get_child_node_count device_get_next_child_node device_initialize device_init_wakeup device_link_add device_match_of_node device_node_to_regmap device_property_present device_property_read_string device_property_read_u32_array device_register device_remove_file device_rename device_unregister _dev_info __dev_kfree_skb_any devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_clk_put devm_devfreq_add_device devm_devfreq_register_notifier devm_devfreq_remove_device devm_devfreq_unregister_notifier devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_register_notifier devm_free_irq devm_fwnode_gpiod_get_index devm_gen_pool_create devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_index_optional devm_gpiod_get_optional devm_gpio_request_one devm_hwrng_register devm_hwspin_lock_register devm_hwspin_lock_unregister devm_iio_channel_get devm_iio_device_alloc devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kstrdup devm_led_classdev_register_ext devm_mbox_controller_register devm_nvmem_device_get devm_of_phy_get_by_index __devm_of_phy_provider_register devm_pci_alloc_host_bridge devm_phy_create devm_phy_optional_get devm_pinctrl_get devm_platform_ioremap_resource devm_pwm_get __devm_regmap_init_i2c devm_regulator_get devm_regulator_get_optional devm_regulator_register devm_request_any_context_irq devm_request_pci_bus_resources __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_rtc_allocate_device __devm_rtc_register_device devm_snd_soc_register_card devm_snd_soc_register_component devm_spi_register_controller devm_thermal_zone_of_sensor_register _dev_notice dev_pm_opp_find_freq_ceil dev_pm_opp_get_freq dev_pm_opp_get_opp_count dev_pm_opp_get_opp_table dev_pm_opp_get_voltage dev_pm_opp_init_cpufreq_table dev_pm_opp_of_add_table dev_pm_opp_of_add_table_indexed dev_pm_opp_of_cpumask_add_table dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_get_sharing_cpus dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_opp_table dev_pm_opp_put_regulators dev_pm_opp_set_regulators _dev_printk dev_queue_xmit devres_add __devres_alloc_node devres_free devres_release dev_set_name dev_valid_name _dev_warn disable_irq disable_irq_nosync disk_end_io_acct disk_start_io_acct dma_alloc_attrs dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_fence_add_callback dma_fence_array_create dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_free dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_free_attrs dma_get_sgtable_attrs dma_heap_add dma_heap_buffer_alloc dma_heap_bufferfd_alloc dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_heap_put d_make_root dmam_alloc_attrs dma_map_page_attrs dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_mmap_attrs dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_resv_add_excl_fence dma_set_coherent_mask dma_set_mask dma_supported dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs d_obtain_alias do_exit do_trace_netlink_extack down downgrade_write down_interruptible down_read down_timeout down_trylock down_write driver_create_file driver_find driver_register driver_remove_file driver_unregister drm_atomic_get_crtc_state drm_atomic_helper_async_commit drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit drm_atomic_helper_commit_cleanup_done drm_atomic_helper_commit_tail drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_reset drm_atomic_helper_disable_plane drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_prepare_planes drm_atomic_helper_set_config drm_atomic_helper_setup_commit drm_atomic_helper_swap_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_dependencies drm_atomic_helper_wait_for_fences drm_atomic_state_default_clear __drm_atomic_state_free drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_connector_register drm_connector_unregister drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_off drm_crtc_vblank_on __drm_dbg drm_dev_alloc drm_dev_register drm_display_mode_from_videomode drm_encoder_cleanup drm_encoder_init __drm_err drm_framebuffer_init drm_gem_cma_vm_ops drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_handle_create drm_gem_mmap drm_gem_mmap_obj drm_gem_object_free drm_gem_object_init drm_gem_object_lookup drm_gem_object_release drm_gem_plane_helper_prepare_fb drm_gem_vm_close drm_get_format_info drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drmm_mode_config_init drm_mode_config_cleanup drm_mode_config_reset drm_mode_create drm_mode_crtc_set_gamma_size drm_mode_object_find drm_mode_probed_add drm_object_attach_property drm_open drm_panel_add drm_panel_get_modes drm_panel_init drm_panel_prepare drm_plane_cleanup drm_plane_create_zpos_property drm_poll drm_prime_gem_destroy drm_property_blob_put drm_property_create drm_property_create_blob drm_property_create_enum drm_property_create_range drm_property_create_signed_range drm_property_lookup_blob drm_read drm_release drm_self_refresh_helper_update_avg_times drm_universal_plane_init drm_vblank_init d_splice_alias dummy_irq_chip dump_stack enable_irq end_buffer_read_sync ether_setup eth_header eth_header_parse eth_mac_addr ethtool_op_get_link eth_type_trans eth_validate_addr event_triggers_call extcon_get_edev_by_phandle extcon_get_state extcon_set_state_sync fasync_helper fd_install fget _find_first_bit find_get_pid _find_next_bit __find_vma finish_wait flush_dcache_page flush_delayed_work flush_work flush_workqueue follow_pfn fput frame_vector_to_pages free_irq free_netdev __free_pages free_pages free_pages_exact free_percpu freezing_slow_path freq_qos_update_request fsync_bdev full_name_hash fwnode_handle_put fwnode_property_present fwnode_property_read_string gcd generic_error_remove_page generic_fh_to_dentry generic_fh_to_parent generic_file_llseek generic_file_mmap generic_file_open generic_file_read_iter generic_file_splice_read generic_handle_domain_irq generic_handle_irq generic_read_dir genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_dma_alloc gen_pool_free_owner gen_pool_get gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys __getblk_gfp get_cpu_device get_cpu_idle_time_us get_device __get_free_pages get_kernel_pages get_net_ns_by_fd get_net_ns_by_pid get_random_bytes get_random_u32 __get_task_comm get_unused_fd_flags get_user_pages get_user_pages_fast get_user_pages_locked get_user_pages_remote gic_nonsecure_priorities gov_update_cpu_data gpiochip_add_data_with_key gpiochip_find gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_remove gpiod_cansleep gpiod_count gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_raw_value gpiod_get_value gpiod_get_value_cansleep gpiod_is_active_low gpiod_set_consumer_name gpiod_set_debounce gpiod_set_raw_value gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_to_desc handle_edge_irq handle_fasteoi_irq handle_simple_irq handle_sysrq hci_alloc_dev_priv hci_free_dev hci_recv_frame hci_register_dev hci_unregister_dev hex_dump_to_buffer hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel __hw_addr_init __hw_addr_sync __hw_addr_unsync hwspin_lock_free hwspin_lock_get_id hwspin_lock_request hwspin_lock_request_specific __hwspin_lock_timeout __hwspin_trylock __hwspin_unlock i2c_adapter_type i2c_add_adapter i2c_add_numbered_adapter i2c_bit_add_numbered_bus i2c_bus_type i2c_clients_command i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_get_adapter i2c_get_dma_safe_msg_buf i2c_new_client_device i2c_new_dummy_device i2c_put_adapter i2c_put_dma_safe_msg_buf i2c_register_driver i2c_smbus_xfer __i2c_transfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client ida_alloc_range ida_destroy ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_remove iget5_locked igrab ihold iio_device_alloc iio_device_free __iio_device_register iio_device_unregister iio_read_channel_processed iio_read_channel_scale inc_zone_page_state in_egroup_p inet_csk_get_port init_net init_pseudo __init_rwsem __init_swait_queue_head init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head inode_init_once input_allocate_device input_close_device input_event input_free_device input_open_device input_register_device input_register_handle input_register_handler input_set_capability input_unregister_device input_unregister_handle __insert_inode_hash int_sqrt invalidate_bh_lrus iomem_resource iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_fwspec_add_ids iommu_fwspec_free iommu_group_alloc iommu_group_put iommu_group_ref_get iommu_present __ioremap ioremap_cache io_schedule iounmap iput irq_chip_ack_parent irq_chip_eoi_parent irqchip_fwnode_ops irq_chip_mask_parent irq_chip_set_affinity_parent irq_chip_set_type_parent irq_chip_set_vcpu_affinity_parent irq_chip_unmask_parent irq_dispose_mapping __irq_domain_add irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_free_irqs_common irq_domain_free_irqs_parent irq_domain_get_irq_data irq_domain_remove irq_domain_set_hwirq_and_chip irq_domain_set_info irq_find_matching_fwspec irq_get_irq_data irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity_hint irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_type irq_to_desc is_bad_inode is_vmalloc_addr jiffies_64_to_clock_t jiffies64_to_nsecs jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_kobj kernel_param_lock kernel_param_unlock kernel_sendmsg kernel_sigaction kern_mount kern_path kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_in_r __kfifo_out __kfifo_out_r __kfifo_to_user kfree kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kill_block_super kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kobject_create_and_add kobject_del kobject_init_and_add kobject_put kobject_uevent kobj_sysfs_ops krealloc kstrdup kstrtobool kstrtobool_from_user kstrtoint kstrtoll kstrtou16 kstrtou8 kstrtouint kstrtouint_from_user kstrtoull kthread_bind kthread_create_on_node kthread_park kthread_parkme kthread_should_park kthread_should_stop kthread_stop kthread_unpark ktime_get ktime_get_coarse_real_ts64 ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_seconds ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node l2cap_is_socket led_classdev_register_ext led_classdev_unregister led_init_default_state_get __list_add_valid __list_del_entry_valid list_sort load_nls load_nls_default __local_bh_enable_ip __lock_buffer __lock_page lzo1x_1_compress lzo1x_decompress_safe make_bad_inode mark_buffer_dirty mbox_chan_received_data mbox_free_channel mbox_request_channel mbox_send_message media_create_intf_link media_create_pad_link media_create_pad_links media_device_cleanup media_device_init __media_device_register media_device_register_entity media_device_register_entity_notify media_device_unregister media_device_unregister_entity media_devnode_create media_devnode_remove media_entity_find_link media_entity_pads_init media_entity_remote_pad media_get_pad_index memchr memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memparse memremap memset64 memset __memset_io memstart_addr memunmap mii_ethtool_get_link_ksettings mii_ethtool_set_link_ksettings mii_nway_restart misc_deregister misc_register __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking mmc_add_host mmc_alloc_host __mmc_claim_host mmc_cqe_request_done mmc_free_host mmc_of_parse mmc_release_host mmc_remove_host mmc_request_done mmc_wait_for_req __mmdrop mod_delayed_work_on mod_node_page_state mod_timer __module_get module_layout module_put __module_put_and_exit mount_bdev __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock napi_complete_done napi_disable napi_enable napi_gro_flush napi_gro_receive __napi_schedule __napi_schedule_irqoff napi_schedule_prep __netdev_alloc_skb netdev_err netdev_info netdev_set_default_ethtool_ops netif_carrier_off netif_carrier_on netif_napi_add __netif_napi_del netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations net_ratelimit new_inode nla_find nla_memcpy __nla_parse nla_put_64bit nla_put nla_put_nohdr nla_reserve nla_strscpy __nla_validate no_llseek nonseekable_open noop_llseek nr_cpu_ids ns_to_timespec64 __num_online_cpus nvmem_device_read of_address_to_resource of_clk_add_provider of_clk_get of_clk_get_from_provider of_clk_src_onecell_get of_count_phandle_with_args of_cpufreq_cooling_register of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure_id of_dma_is_coherent of_drm_find_panel of_find_compatible_node of_find_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_type of_find_node_opts_by_path of_find_node_with_property of_find_property of_fwnode_ops of_get_child_by_name of_get_mac_address of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_next_parent of_get_property of_get_regulator_init_data of_hwspin_lock_get_id of_iomap of_irq_find_parent of_irq_get of_irq_get_byname of_irq_parse_and_map_pci of_irq_parse_one of_machine_is_compatible of_match_device of_match_node of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_pci_get_devfn of_pci_parse_bus_range of_pci_range_parser_init of_pci_range_parser_one of_pci_range_to_resource of_phandle_iterator_init of_phandle_iterator_next of_platform_depopulate of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_thermal_get_ntrips of_thermal_get_trip_points of_translate_address overflowgid overflowuid pagecache_get_page page_endio page_mapping panic panic_notifier_list param_array_ops param_get_int param_ops_bool param_ops_byte param_ops_charp param_ops_int param_ops_short param_ops_string param_ops_uint param_ops_ulong param_ops_ushort path_put pci_add_resource pci_add_resource_offset pci_bus_add_devices pci_bus_assign_resources pci_bus_size_bridges pci_common_swizzle pcie_bus_configure_settings pcie_capability_read_word pci_free_resource_list pci_generic_config_read32 pci_generic_config_write32 pci_get_device pci_host_probe pci_lock_rescan_remove pci_msi_create_irq_domain pci_msi_mask_irq pci_msi_unmask_irq pci_pio_to_address pci_remap_iospace pci_remove_root_bus pci_scan_root_bus_bridge pci_stop_root_bus pci_unlock_rescan_remove pci_unmap_iospace PDE_DATA __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_exit phy_init phy_power_off phy_power_on phy_set_mode_ext pid_task pid_vnr pinconf_generic_dump_config pinctrl_count_index_with_args pinctrl_dev_get_drvdata pinctrl_enable pinctrl_force_default pinctrl_force_sleep pinctrl_generic_add_group pinctrl_generic_get_group_count pinctrl_generic_get_group_name pinctrl_generic_get_group_pins pinctrl_generic_remove_group pinctrl_lookup_state pinctrl_parse_index_with_args pinctrl_register_and_init pinctrl_select_state pinctrl_unregister pinmux_generic_add_function pinmux_generic_get_function pinmux_generic_get_function_count pinmux_generic_get_function_groups pinmux_generic_get_function_name pinmux_generic_remove_function pin_user_pages pin_user_pages_fast pin_user_pages_remote platform_bus_type platform_device_add platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_find_device_by_driver platform_get_irq platform_get_resource platform_get_resource_byname __platform_register_drivers platform_unregister_drivers __pm_relax __pm_runtime_disable pm_runtime_enable pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle __pm_runtime_resume __pm_runtime_set_status __pm_runtime_suspend __pm_stay_awake pm_wakeup_ws_event pm_wq power_supply_changed power_supply_register power_supply_unregister prandom_bytes preempt_schedule preempt_schedule_notrace prepare_to_wait_event print_hex_dump _printk __printk_ratelimit proc_create proc_create_data proc_create_single_data proc_mkdir proc_remove proc_set_user proto_register proto_unregister pskb_expand_head __pskb_pull_tail ___pskb_trim put_device __put_net __put_page put_pid __put_task_struct put_unused_fd pwm_apply_state pwmchip_add pwmchip_remove pwm_free pwm_request queue_delayed_work_on queue_work_on radix_tree_lookup ___ratelimit _raw_read_lock _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irqrestore rb_erase rb_first rb_insert_color rb_next rb_prev rb_replace_node rcu_barrier __rcu_read_lock __rcu_read_unlock rdev_get_drvdata read_cache_page refcount_warn_saturate __refrigerator __register_blkdev __register_chrdev register_chrdev_region register_filesystem register_inet6addr_notifier register_inetaddr_notifier register_kprobe register_netdev register_netdevice register_netdevice_notifier register_oom_notifier register_pernet_device register_pm_notifier register_reboot_notifier __register_rpmsg_driver register_shrinker register_syscore_ops register_virtio_driver regmap_bulk_read regmap_bulk_write regmap_exit __regmap_init_i2c regmap_raw_read regmap_raw_write regmap_read regmap_update_bits_base regmap_write regulator_disable regulator_enable regulator_get regulator_get_optional regulator_get_voltage regulator_is_enabled regulator_put regulator_set_mode regulator_set_voltage regulator_set_voltage_time release_firmware __release_region remap_pfn_range remap_vmalloc_range remove_proc_entry remove_proc_subtree remove_wait_queue request_firmware request_firmware_direct request_firmware_nowait __request_module __request_region request_threaded_irq reset_control_assert reset_control_deassert rfkill_alloc rfkill_blocked rfkill_destroy rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert round_jiffies round_jiffies_relative round_jiffies_up rpmsg_create_channel rpmsg_create_ept rpmsg_find_device rpmsg_register_device rpmsg_release_channel rpmsg_send rpmsg_sendto rpmsg_trysend rpmsg_unregister_device rproc_add rproc_add_carveout rproc_alloc rproc_boot rproc_da_to_va rproc_del rproc_free rproc_get_by_child rproc_mem_entry_init rproc_of_resm_mem_entry_init rproc_report_crash rproc_shutdown rproc_vq_interrupt rtc_add_group rtc_read_time rtc_set_alarm rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_lock rtnl_unlock sb_min_blocksize sb_set_blocksize sched_clock sched_set_fifo sched_set_fifo_low sched_set_normal sched_setscheduler schedule schedule_hrtimeout schedule_timeout schedule_timeout_interruptible scnprintf seq_hex_dump seq_lseek seq_open seq_printf seq_putc seq_puts seq_read seq_release seq_write serial8250_do_pm serial8250_do_set_termios serial8250_do_shutdown serial8250_do_startup serial8250_get_port serial8250_modem_status serial8250_register_8250_port serial8250_resume_port serial8250_rpm_get serial8250_rpm_put serial8250_rpm_put_tx serial8250_rx_chars serial8250_suspend_port serial8250_tx_chars serial8250_unregister_port set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_freezable set_nlink set_page_dirty_lock __SetPageMovable set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_nents sg_next __sg_page_iter_next __sg_page_iter_start simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtol simple_strtoul single_open single_release sk_alloc skb_add_rx_frag skb_checksum_help skb_clone skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_dequeue_tail skb_ensure_writable __skb_get_hash __skb_gso_segment skb_pull skb_pull_rcsum skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_trim sk_free skip_spaces smp_call_function snd_card_free snd_card_new snd_card_ref snd_card_register snd_card_rw_proc_new snd_ctl_add snd_ctl_boolean_mono_info snd_ctl_find_id snd_ctl_new1 snd_ctl_notify snd_devm_card_new snd_ecards_limit snd_info_get_line snd_interval_refine snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_set_silence snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_list snd_pcm_hw_rule_add snd_pcm_lib_ioctl snd_pcm_new snd_pcm_period_elapsed snd_pcm_set_managed_buffer_all snd_pcm_set_ops snd_pcm_stop snd_pcm_stop_xrun _snd_pcm_stream_lock_irqsave snd_pcm_stream_unlock_irqrestore snd_soc_bytes_info_ext snd_soc_bytes_tlv_callback snd_soc_card_jack_new snd_soc_info_enum_double snd_soc_info_volsw snd_soc_jack_report snd_soc_of_parse_audio_routing snd_soc_pm_ops snd_soc_set_runtime_hwparams snd_timer_close snd_timer_instance_free snd_timer_instance_new snd_timer_open snd_timer_start snd_timer_stop snprintf __sock_create sockfd_lookup sock_init_data sock_no_accept sock_no_bind sock_no_connect sock_no_getname sock_no_listen sock_no_mmap sock_no_recvmsg sock_no_sendmsg sock_no_shutdown sock_no_socketpair sock_release __spi_alloc_controller spi_finalize_current_message spi_new_device spi_register_controller __spi_register_driver spi_setup spi_sync spi_write_then_read split_page sprintf sscanf __stack_chk_fail static_key_slow_dec static_key_slow_inc store_sampling_rate stpcpy strcasecmp strcat strchr strcmp strcpy strcspn stream_open strim strlcat strlcpy strlen strncasecmp strncat strncmp strncpy strnlen strnstr strpbrk strrchr strscpy strsep strspn strstr submit_bh suspend_set_ops __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 __symbol_get __symbol_put symbol_put_addr sync_dirty_buffer sync_file_create sync_file_get_fence sync_filesystem synchronize_irq synchronize_net synchronize_rcu syscon_node_to_regmap sysfs_create_file_ns sysfs_create_group sysfs_create_groups sysfs_create_link sysfs_emit sysfs_emit_at __sysfs_match_string sysfs_merge_group sysfs_notify sysfs_remove_file_ns sysfs_remove_group sysfs_remove_groups sysfs_remove_link sysfs_streq sysfs_unmerge_group sysfs_update_group system_freezable_power_efficient_wq system_freezable_wq system_freezing_cnt system_highpri_wq system_power_efficient_wq system_wq __tasklet_hi_schedule tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_zone_get_temp thermal_zone_get_zone_by_name time64_to_tm _totalram_pages trace_array_destroy trace_array_get_by_name trace_array_init_printk trace_array_printk __trace_bprintk __trace_bputs trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_gpu_mem_total __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking trace_output_call __tracepoint_gpu_mem_total __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq __trace_puts trace_raw_output_prep truncate_inode_pages_final try_module_get __tty_alloc_driver tty_driver_kref_put tty_flip_buffer_push tty_insert_flip_string_fixed_flag tty_port_close tty_port_hangup tty_port_init tty_port_install tty_port_open tty_port_put tty_port_register_device tty_port_tty_hangup tty_register_driver tty_std_termios tty_termios_baud_rate tty_termios_encode_baud_rate tty_unregister_device tty_unregister_driver uart_get_baud_rate uart_get_divisor uart_write_wakeup __ubsan_handle_cfi_check_fail_abort __udelay unload_nls unlock_buffer unlock_new_inode unlock_page unmap_mapping_range unpin_user_page unpin_user_pages unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_filesystem unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_oom_notifier unregister_pernet_device unregister_pm_notifier unregister_reboot_notifier unregister_rpmsg_driver unregister_shrinker unregister_syscore_ops unregister_virtio_driver up update_devfreq up_read up_write usb_add_gadget_udc usb_add_hcd usb_alloc_coherent usb_alloc_urb usb_anchor_empty usb_anchor_urb usb_autopm_get_interface usb_autopm_put_interface usb_bulk_msg usb_control_msg usb_create_hcd usb_create_shared_hcd usb_debug_root usb_del_gadget_udc usb_deregister usb_disabled usb_driver_claim_interface usb_driver_release_interface usb_ep_set_halt usb_ep_set_maxpacket_limit usb_free_coherent usb_free_urb usb_gadget_giveback_request usb_gadget_map_request usb_gadget_set_state usb_gadget_unmap_request usb_get_dev usb_get_dr_mode usb_hcd_is_primary_hcd usb_hcd_poll_rh_status usb_ifnum_to_if usb_interrupt_msg usb_kill_anchored_urbs usb_kill_urb usb_put_dev usb_put_hcd usb_register_driver usb_remove_hcd usb_reset_endpoint usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_unregister usb_set_interface usb_speed_string usb_string usb_submit_urb usb_unanchor_urb usb_unlink_anchored_urbs __usecs_to_jiffies usleep_range_state uuid_null v4l2_ctrl_auto_cluster v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_poll v4l2_ctrl_request_complete v4l2_ctrl_request_setup v4l2_ctrl_subdev_log_status v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_queue v4l2_event_queue_fh v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_is_singular v4l2_i2c_subdev_init v4l2_m2m_buf_copy_metadata v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_dqbuf v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_create_bufs v4l2_m2m_ioctl_dqbuf v4l2_m2m_ioctl_expbuf v4l2_m2m_ioctl_prepare_buf v4l2_m2m_ioctl_qbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_next_buf v4l2_m2m_qbuf v4l2_m2m_querybuf v4l2_m2m_register_media_controller v4l2_m2m_release v4l2_m2m_reqbufs v4l2_m2m_request_queue v4l2_m2m_streamoff v4l2_m2m_streamon v4l2_m2m_try_schedule v4l2_m2m_unregister_media_controller v4l2_spi_subdev_init v4l2_src_change_event_subscribe v4l2_subdev_init v4l_bound_align_image vabits_actual vb2_buffer_done vb2_common_vm_ops vb2_core_dqbuf vb2_core_expbuf vb2_core_poll vb2_core_qbuf vb2_core_querybuf vb2_core_queue_init vb2_core_queue_release vb2_core_reqbufs vb2_core_streamoff vb2_core_streamon vb2_create_framevec vb2_destroy_framevec vb2_dma_contig_memops vb2_dma_sg_memops vb2_mmap vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_queue_init vb2_queue_release vb2_request_validate vb2_streamoff vb2_streamon vb2_vmalloc_memops verify_pkcs7_signature vfree vfs_llseek video_devdata video_device_alloc video_device_release video_ioctl2 videomode_from_timing __video_register_device video_unregister_device virtio_check_driver_offered_feature virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_disable_cb virtqueue_enable_cb virtqueue_get_buf virtqueue_get_vring_size virtqueue_kick virtqueue_kick_prepare virtqueue_notify __vmalloc vmalloc vmalloc_to_page vmalloc_user vmap vmf_insert_pfn_prot vm_iomap_memory vm_map_pages vm_map_ram vm_unmap_ram vscnprintf vsnprintf vsprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_timeout __wait_on_buffer wait_woken __wake_up wake_up_process wakeup_source_register wakeup_source_unregister __warn_printk watchdog_init_timeout watchdog_register_device watchdog_set_restart_priority watchdog_unregister_device wireless_nlevent_flush wireless_send_event woken_wake_function ww_mutex_lock ww_mutex_unlock xhci_add_endpoint xhci_check_bandwidth xhci_drop_endpoint xhci_gen_setup xhci_get_endpoint_index xhci_get_ep_ctx xhci_init_driver xhci_reset_bandwidth xhci_resume xhci_suspend yield zlib_deflate zlib_deflateInit2 zlib_deflateReset zlib_deflate_workspacesize zlib_inflate_workspacesize [abi_symbol_list] access_process_vm activate_task add_timer add_uevent_var add_wait_queue adjust_managed_page_count alarm_cancel alarm_init alarm_start alarm_start_relative alarm_try_to_cancel alloc_anon_inode alloc_chrdev_region alloc_etherdev_mqs alloc_io_pgtable_ops __alloc_pages alloc_pages_exact __alloc_percpu __alloc_skb alloc_workqueue all_vm_events android_debug_symbol android_rvh_probe_register anon_inode_getfd anon_inode_getfile __arch_clear_user __arch_copy_from_user __arch_copy_to_user arch_freq_scale arch_timer_read_counter arm_smccc_1_1_get_conduit arm_smccc_1_2_hvc arm_smccc_1_2_smc arm_smccc_get_version arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_hvc __arm_smccc_smc arp_tbl async_schedule_node atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function balance_push_callback bcmp bio_add_page bio_alloc_bioset bio_associate_blkg bio_chain bio_endio bio_end_io_acct_remapped bio_init bio_put bio_start_io_acct __bitmap_andnot __bitmap_clear __bitmap_equal bitmap_find_free_region bitmap_find_next_zero_area_off bitmap_free bitmap_from_arr32 __bitmap_or bitmap_print_to_pagebuf bitmap_release_region __bitmap_set bitmap_to_arr32 __bitmap_weight bitmap_zalloc __blk_alloc_disk blk_cleanup_disk blkdev_get_by_dev blkdev_put blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_status_to_errno blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run12 bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 bus_register bus_set_iommu bus_unregister cache_line_size cancel_delayed_work cancel_delayed_work_sync cancel_work_sync cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag cgroup_taskset_first cgroup_taskset_next __check_object_size check_preempt_curr __class_create class_destroy class_find_device class_for_each_device __class_register class_unregister clear_page __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_put clk_bulk_put_all clk_bulk_unprepare clk_disable clk_divider_ops clk_enable clk_gate_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_is_prepared __clk_is_enabled clk_mux_ops clk_notifier_register clk_prepare clk_put clk_register clk_register_composite clk_register_divider_table clk_register_fixed_factor clk_register_fixed_rate clk_set_parent clk_set_rate clk_unprepare clockevents_config_and_register clocks_calc_mult_shift clocksource_mmio_init clocksource_mmio_readl_up cma_alloc cma_release compat_ptr_ioctl complete complete_all completion_done component_add component_add_typed component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_match_add_typed component_unbind_all config_ep_by_speed config_group_init_type_name console_drivers console_suspend_enabled __const_udelay consume_skb contig_page_data _copy_from_iter copy_from_kernel_nofault copy_from_user_toio _copy_to_iter copy_to_user_fromio __cpu_active_mask cpu_all_bits cpu_bit_bitmap cpufreq_add_update_util_hook cpufreq_cpu_get cpufreq_cpu_put cpufreq_disable_fast_switch cpufreq_driver_fast_switch cpufreq_driver_resolve_freq __cpufreq_driver_target cpufreq_enable_fast_switch cpufreq_generic_attr cpufreq_generic_frequency_table_verify cpufreq_get_policy cpufreq_policy_transition_delay_us cpufreq_quick_get cpufreq_register_driver cpufreq_register_governor cpufreq_remove_update_util_hook cpufreq_table_index_unsorted cpufreq_this_cpu_can_update cpufreq_unregister_driver cpufreq_unregister_governor cpufreq_update_limits cpu_hotplug_disable cpu_hotplug_enable __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpuidle_driver_state_disabled cpuidle_get_driver cpuidle_pause_and_lock cpuidle_resume_and_unlock cpu_latency_qos_add_request cpu_latency_qos_remove_request cpu_latency_qos_update_request cpumask_any_but cpumask_any_distribute cpumask_next cpumask_next_and cpu_number __cpu_online_mask cpu_pm_register_notifier cpu_pm_unregister_notifier __cpu_possible_mask __cpu_present_mask cpu_scale cpus_read_lock cpus_read_unlock cpu_subsys cpu_topology crc32_le crc8 crc8_populate_msb crypto_alloc_base crypto_alloc_shash crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg crypto_shash_final crypto_shash_setkey crypto_shash_update _ctype dapm_clock_event dapm_kcontrol_get_value dapm_regulator_event deactivate_task debugfs_attr_read debugfs_attr_write debugfs_create_blob debugfs_create_bool debugfs_create_devm_seqfile debugfs_create_dir debugfs_create_file debugfs_create_regset32 debugfs_create_symlink debugfs_create_u16 debugfs_create_u32 debugfs_create_u64 debugfs_create_u8 debugfs_create_x32 debugfs_lookup debugfs_remove dec_zone_page_state default_llseek delayed_work_timer_fn del_gendisk del_timer del_timer_sync desc_to_gpio destroy_workqueue dev_base_lock dev_driver_string _dev_err dev_err_probe devfreq_add_device devfreq_add_governor devfreq_cooling_unregister devfreq_get_devfreq_by_phandle devfreq_monitor_resume devfreq_monitor_start devfreq_monitor_stop devfreq_monitor_suspend devfreq_recommended_opp devfreq_register_opp_notifier devfreq_remove_device devfreq_remove_governor devfreq_resume_device devfreq_suspend_device devfreq_unregister_opp_notifier devfreq_update_interval dev_fwnode dev_get_by_name dev_get_regmap dev_get_stats dev_get_tstats64 device_add device_add_disk device_attach device_create device_create_bin_file device_create_file device_create_with_groups device_del device_destroy device_for_each_child device_get_child_node_count device_get_match_data device_get_next_child_node device_initialize device_init_wakeup device_link_add device_link_remove device_match_any device_node_to_regmap device_property_present device_property_read_string device_property_read_u32_array device_register device_release_driver device_remove_bin_file device_remove_file device_rename device_set_of_node_from_dev device_set_wakeup_capable device_show_bool device_store_bool device_unregister _dev_info __dev_kfree_skb_any devm_add_action __devm_alloc_percpu devm_blk_ksm_init devm_clk_bulk_get devm_clk_bulk_get_all devm_clk_bulk_get_optional devm_clk_get devm_clk_get_optional devm_clk_put devm_clk_register devm_devfreq_add_device devm_devfreq_register_notifier devm_devfreq_register_opp_notifier devm_devfreq_remove_device devm_devfreq_unregister_notifier devm_devfreq_unregister_opp_notifier devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_register_notifier devm_free_irq devm_fwnode_pwm_get devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_optional devm_gpiod_put devm_gpio_free devm_gpio_request devm_gpio_request_one devm_hwrng_register devm_i2c_new_dummy_device devm_iio_channel_get devm_iio_channel_get_all devm_iio_device_alloc __devm_iio_device_register devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_led_classdev_register_ext devm_led_classdev_unregister devm_mbox_controller_register devm_mdiobus_alloc_size __devm_mdiobus_register devm_memremap devm_mfd_add_devices devm_nvmem_cell_get devm_nvmem_device_get devm_nvmem_register devm_of_phy_get_by_index __devm_of_phy_provider_register devm_of_platform_populate devm_pci_alloc_host_bridge devm_phy_create devm_phy_get devm_phy_optional_get devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register_and_init devm_platform_get_and_ioremap_resource devm_platform_ioremap_resource devm_platform_ioremap_resource_byname devm_power_supply_get_by_phandle devm_power_supply_register devm_rc_allocate_device devm_rc_register_device devm_regmap_add_irq_chip devm_regmap_field_alloc devm_regmap_field_bulk_alloc __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_get devm_regulator_get_exclusive devm_regulator_get_optional devm_regulator_put devm_regulator_register devm_regulator_register_notifier devm_regulator_unregister_notifier devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_rtc_allocate_device devm_rtc_nvmem_register __devm_rtc_register_device devm_snd_soc_register_card devm_snd_soc_register_component devm_spi_register_controller devm_thermal_zone_of_sensor_register devm_watchdog_register_device _dev_notice dev_pm_clear_wake_irq dev_pm_domain_attach_by_id dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_genpd_add_notifier dev_pm_genpd_set_performance_state dev_pm_opp_add dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_freq dev_pm_opp_get_level dev_pm_opp_get_opp_count dev_pm_opp_get_opp_table dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_add_table_indexed dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_opp_table dev_pm_opp_put_regulators dev_pm_opp_remove_all_dynamic dev_pm_opp_set_regulators dev_pm_qos_update_request dev_pm_set_dedicated_wake_irq _dev_printk devres_add __devres_alloc_node devres_free devres_release dev_set_mac_address dev_set_name _dev_warn disable_irq disable_irq_nosync disable_percpu_irq disk_end_io_acct disk_start_io_acct dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_fence_add_callback dma_fence_array_create dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_free dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_signal_timestamp_locked dma_fence_wait_timeout dma_free_attrs dma_get_sgtable_attrs dma_heap_add dma_heap_buffer_alloc dma_heap_bufferfd_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_heap_put dmam_alloc_attrs dma_map_page_attrs dma_map_resource dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_mmap_attrs dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_resv_add_excl_fence dma_resv_reserve_shared dma_run_dependencies dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs do_exit double_rq_lock do_wait_intr_irq down downgrade_write down_interruptible down_read down_read_trylock down_timeout down_trylock down_write down_write_killable d_path dput drain_workqueue driver_create_file driver_remove_file driver_unregister drm_add_edid_modes drm_add_modes_noedid drm_atomic_add_affected_connectors drm_atomic_get_crtc_state drm_atomic_helper_check drm_atomic_helper_check_plane_state drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_disable_plane drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_prepare_planes drm_atomic_helper_resume drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_suspend drm_atomic_helper_swap_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_vblanks drm_atomic_state_clear drm_atomic_state_default_release __drm_atomic_state_free drm_atomic_state_init drm_bridge_attach drm_calc_timestamping_constants drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_update_edid_property drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_get drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_put __drm_dbg drm_dev_alloc drm_dev_put drm_dev_register drm_dev_unregister drm_display_mode_to_videomode drm_dp_aux_init drm_dp_aux_register drm_dp_channel_eq_ok drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_write drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_edid_duplicate drm_edid_to_sad drm_encoder_cleanup drm_encoder_init __drm_err drm_format_info drm_framebuffer_cleanup drm_framebuffer_init drm_framebuffer_lookup drm_framebuffer_remove drm_gem_cma_vm_ops drm_gem_create_mmap_offset drm_gem_handle_create drm_gem_mmap drm_gem_mmap_obj drm_gem_object_free drm_gem_object_init drm_gem_object_lookup drm_gem_object_release drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_import drm_gem_vm_close drm_get_edid drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drm_is_current_master drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drmm_mode_config_init drm_mode_config_cleanup drm_mode_config_reset drm_mode_copy drm_mode_crtc_set_gamma_size drm_mode_duplicate drm_mode_equal drm_mode_object_find drm_mode_object_put drm_mode_probed_add drm_mode_set_crtcinfo drm_mode_set_name drm_mode_validate_size drm_mode_vrefresh drm_object_attach_property drm_open drm_panel_add drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_init drm_panel_prepare drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_poll drm_prime_gem_destroy drm_property_blob_put drm_property_create_blob drm_property_create_range drm_property_lookup_blob drm_property_replace_global_blob drm_read drm_release drm_universal_plane_init drm_vblank_init drm_writeback_connector_init drm_writeback_queue_job drm_writeback_signal_completion dump_stack em_cpu_get em_dev_register_perf_domain enable_irq enable_percpu_irq eth_header eth_header_cache eth_header_cache_update eth_header_parse eth_mac_addr eth_platform_get_mac_address ethtool_op_get_link ethtool_op_get_ts_info eth_type_trans eth_validate_addr event_triggers_call extcon_get_edev_by_phandle extcon_get_state extcon_set_state_sync fd_install fget file_path filp_close filp_open_block _find_first_bit _find_first_zero_bit find_get_pid _find_next_bit find_pid_ns find_task_by_vpid __find_vma find_vpid finish_wait flush_dcache_page flush_delayed_work flush_work flush_workqueue follow_pfn font_vga_8x16 for_each_kernel_tracepoint fput frame_vector_create frame_vector_destroy frame_vector_to_pages frame_vector_to_pfns free_irq free_netdev __free_pages free_pages free_pages_exact free_percpu free_percpu_irq freezing_slow_path freq_qos_add_notifier freq_qos_add_request freq_qos_remove_notifier freq_qos_remove_request freq_qos_update_request fs_bio_set fsg_common_create_luns fsg_common_set_cdev fsg_common_set_inquiry_string fsg_common_set_sysfs fsg_config_from_params fsync_bdev fwnode_device_is_available fwnode_get_name fwnode_graph_get_next_endpoint fwnode_graph_get_port_parent fwnode_graph_get_remote_endpoint fwnode_graph_get_remote_port_parent fwnode_graph_parse_endpoint fwnode_handle_get fwnode_handle_put fwnode_property_get_reference_args fwnode_property_present fwnode_property_read_string fwnode_property_read_u32_array fwnode_property_read_u64_array g_audio_cleanup g_audio_setup gcd generic_file_llseek generic_handle_domain_irq generic_handle_irq generic_iommu_put_resv_regions generic_mii_ioctl genlmsg_put genl_register_family genl_unregister_family genphy_resume gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_dma_alloc_align gen_pool_dma_zalloc gen_pool_for_each_chunk gen_pool_free_owner gen_pool_has_addr gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys get_cpu_device get_cpu_idle_time get_cpu_idle_time_us get_cpu_iowait_time_us get_device get_each_dmabuf __get_free_pages get_governor_parent_kobj gether_cleanup gether_connect gether_disconnect gether_get_dev_addr gether_get_host_addr gether_get_host_addr_u8 gether_get_ifname gether_get_qmult gether_register_netdev gether_set_dev_addr gether_set_gadget gether_set_host_addr gether_set_ifname gether_set_qmult gether_setup_name_default get_kernel_pages get_pid_task get_random_bytes get_random_u32 __get_task_comm get_task_mm get_unused_fd_flags get_user_pages get_user_pages_fast get_user_pages_remote get_vaddr_frames get_zeroed_page gic_nonsecure_priorities gov_attr_set_get gov_attr_set_init gov_attr_set_put governor_sysfs_ops gpiochip_add_data_with_key gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_lock_as_irq gpiochip_unlock_as_irq gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_raw_value gpiod_get_value gpiod_get_value_cansleep gpiod_set_debounce gpiod_set_raw_value gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_to_desc handle_edge_irq handle_fasteoi_irq handle_level_irq handle_nested_irq handle_simple_irq have_governor_per_policy hdmi_spd_infoframe_init hdmi_spd_infoframe_pack hex_asc hex_to_bin hid_add_device hid_allocate_device hid_debug hid_destroy_device hid_input_report hid_parse_report hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel i2c_add_adapter i2c_del_adapter i2c_del_driver i2c_get_adapter i2c_get_dma_safe_msg_buf i2c_put_dma_safe_msg_buf i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_read_i2c_block_data i2c_smbus_write_byte_data i2c_smbus_write_i2c_block_data i2c_smbus_write_word_data i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client icc_link_create icc_node_add icc_node_create icc_node_del icc_node_destroy icc_provider_add icc_provider_del icc_put icc_set_bw icc_sync_state ida_alloc_range ida_destroy ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_remove iio_alloc_pollfunc iio_buffer_init iio_buffer_put iio_channel_get iio_channel_release iio_dealloc_pollfunc iio_device_attach_buffer iio_device_id __iio_device_register iio_device_unregister iio_get_channel_type iio_get_time_ns iio_push_to_buffers iio_read_channel_attribute iio_read_channel_processed iio_read_channel_raw iio_trigger_notify_done inc_zone_page_state in_egroup_p init_net init_pseudo __init_rwsem __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_event input_free_device input_mt_init_slots input_mt_report_slot_state input_mt_sync_frame input_register_device input_set_abs_params input_set_capability input_unregister_device iomem_resource iommu_alloc_resv_region iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_fwspec_add_ids iommu_fwspec_free iommu_get_domain_for_dev iommu_group_alloc iommu_group_ref_get iommu_iova_to_phys iommu_map iommu_map_sg iommu_present iommu_unmap __ioremap ioremap_cache iounmap iput ipv6_skip_exthdr irq_chip_ack_parent irq_chip_mask_parent irq_chip_unmask_parent irq_create_mapping_affinity irq_create_of_mapping irq_dispose_mapping __irq_domain_add irq_domain_free_irqs_common irq_domain_get_irq_data irq_domain_remove irq_domain_set_info irq_domain_simple_ops irq_domain_xlate_onetwocell irq_domain_xlate_twocell irq_get_irqchip_state irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity irq_set_affinity_hint irq_set_chained_handler_and_data irq_set_chip irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_type irq_set_irq_wake irq_set_parent irq_stat irq_to_desc irq_work_queue irq_work_run irq_work_sync is_dma_buf_file is_vmalloc_addr iterate_dir iterate_fd jiffies_64_to_clock_t jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_cpustat kernel_kobj kernel_power_off kernel_restart kernel_sigaction kernfs_find_and_get_ns kernfs_notify kernfs_path_from_node kernfs_put kern_mount kern_unmount __kfifo_alloc __kfifo_free __kfifo_in __kfifo_init __kfifo_out __kfifo_to_user kfree kfree_const kfree_sensitive kfree_skb kill_anon_super kimage_vaddr kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kobject_create_and_add kobject_del kobject_init_and_add kobject_put kobject_uevent kobject_uevent_env krealloc ksoftirqd kstrdup kstrdup_const kstrndup kstrtobool kstrtobool_from_user kstrtoint kstrtoint_from_user kstrtol_from_user kstrtoll kstrtos16 kstrtou16 kstrtou8 kstrtouint kstrtouint_from_user kstrtoul_from_user kstrtoull kstrtoull_from_user ksys_sync_helper kthread_bind kthread_bind_mask kthread_cancel_work_sync kthread_create_on_node kthread_create_worker kthread_destroy_worker kthread_flush_work kthread_flush_worker __kthread_init_worker kthread_queue_work kthread_should_stop kthread_stop kthread_worker_fn ktime_get ktime_get_coarse_ts64 ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_ts64 ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node led_classdev_flash_register_ext led_classdev_flash_unregister led_get_flash_fault led_init_default_state_get led_set_brightness_sync led_set_flash_brightness led_set_flash_timeout led_sysfs_disable led_sysfs_enable led_trigger_remove led_update_brightness led_update_flash_brightness linear_range_get_selector_within linear_range_get_value __list_add_valid __list_del_entry_valid list_sort __local_bh_enable_ip __lock_page log_threaded_irq_wakeup_reason loops_per_jiffy match_hex match_int match_token mbox_chan_received_data mbox_client_txdone mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message mdiobus_alloc_size mdiobus_free __mdiobus_register mdiobus_unregister media_create_intf_link media_create_pad_link media_device_cleanup media_device_init __media_device_register media_device_unregister media_devnode_create media_devnode_remove media_entity_pads_init media_entity_remote_pad media_entity_remove_links media_graph_walk_next media_graph_walk_start media_pipeline_start media_pipeline_stop media_request_get_by_fd media_request_object_complete media_request_put memblock_end_of_DRAM memchr memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memory_read_from_buffer memparse memremap mem_section memset64 memset __memset_io memstart_addr memunmap migrate_swap mii_check_media mii_ethtool_get_link_ksettings mii_ethtool_gset mii_ethtool_set_link_ksettings mii_link_ok mii_nway_restart mipi_dsi_attach mipi_dsi_dcs_read mipi_dsi_dcs_write mipi_dsi_dcs_write_buffer mipi_dsi_detach mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_generic_read mipi_dsi_generic_write mipi_dsi_host_register mipi_dsi_host_unregister misc_deregister misc_register mktime64 __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking mmc_add_host mmc_alloc_host mmc_can_gpio_cd mmc_cmdq_disable mmc_cmdq_enable mmc_cqe_request_done mmc_detect_change mmc_free_host mmc_get_card mmc_get_ext_csd mmc_gpio_get_cd mmc_gpio_get_ro mmc_hw_reset mmc_of_parse mmc_put_card mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_remove_host mmc_request_done mmc_send_tuning mmc_set_data_timeout mmc_switch mmc_wait_for_req __mmdrop mmput mod_delayed_work_on mod_timer mod_timer_pending module_layout module_put __msecs_to_jiffies msleep msleep_interruptible mul_u64_u64_div_u64 __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_lock_killable mutex_trylock mutex_unlock napi_disable napi_enable napi_gro_flush napi_gro_receive __napi_schedule napi_schedule_prep nd_tbl neigh_destroy neigh_lookup __netdev_alloc_frag_align __netdev_alloc_skb netdev_err netdev_info netdev_warn netif_carrier_off netif_carrier_on netif_napi_add netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_tx_wake_queue __netlink_kernel_create netlink_kernel_release netlink_unicast net_namespace_list net_selftest net_selftest_get_count net_selftest_get_strings nf_register_net_hooks nf_unregister_net_hooks nla_put __nlmsg_put no_llseek nonseekable_open nr_cpu_ids nsecs_to_jiffies ns_to_timespec64 n_tty_ioctl_helper __num_online_cpus nvmem_cell_get nvmem_cell_put nvmem_cell_read nvmem_cell_read_u32 nvmem_cell_read_variable_le_u32 nvmem_cell_write nvmem_device_get nvmem_device_put nvmem_device_read of_address_to_resource of_alias_get_id of_clk_add_provider of_clk_del_provider of_clk_get of_clk_get_by_name of_clk_get_from_provider of_clk_get_parent_count of_clk_src_onecell_get of_clk_src_simple_get of_count_phandle_with_args of_cpu_node_to_id of_devfreq_cooling_register_power of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure_id of_dma_controller_free of_dma_controller_register of_dma_is_coherent of_dma_xlate_by_chan_id of_drm_find_bridge of_drm_find_panel of_find_backlight_by_node of_find_compatible_node of_find_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_phandle of_find_node_opts_by_path of_find_node_with_property of_find_property of_fwnode_ops of_genpd_add_device of_genpd_add_provider_onecell __of_get_address of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_next_parent of_get_parent of_get_pci_domain_nr of_get_property of_get_regulator_init_data of_graph_get_next_endpoint of_graph_get_remote_node of_graph_get_remote_port_parent of_icc_get of_icc_xlate_onecell of_iomap of_irq_find_parent of_irq_get of_irq_parse_one of_irq_to_resource_table of_machine_is_compatible of_match_device of_match_node of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_phy_simple_xlate of_platform_depopulate of_platform_device_create of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_u64_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u64_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_remove_property of_reserved_mem_device_init_by_idx of_reserved_mem_lookup of_root of_thermal_get_trip_points of_translate_address on_each_cpu_cond_mask oops_in_progress page_endio page_mapping panic panic_notifier_list param_array_ops param_get_bool param_get_charp param_get_int param_get_uint param_get_ulong param_ops_bool param_ops_byte param_ops_charp param_ops_int param_ops_string param_ops_uint param_set_bool param_set_charp param_set_uint param_set_ulong pci_generic_config_read32 pci_generic_config_write32 pci_host_probe pci_lock_rescan_remove pci_msi_create_irq_domain pci_msi_mask_irq pci_msi_unmask_irq pci_remove_root_bus pci_stop_root_bus pci_unlock_rescan_remove pci_pio_to_address PDE_DATA __per_cpu_offset perf_event_create_kernel_counter perf_event_disable perf_event_enable perf_event_release_kernel perf_event_update_userpage perf_pmu_migrate_context perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_attached_info phy_connect phy_disconnect phy_do_ioctl_running phy_ethtool_get_link_ksettings phy_ethtool_nway_reset phy_ethtool_set_link_ksettings phy_exit phy_get phy_init phy_loopback phy_power_off phy_power_on phy_print_status phy_put phy_set_mode_ext phy_start phy_stop phy_suspend pid_task pinconf_generic_parse_dt_config pinctrl_dev_get_drvdata pinctrl_enable pinctrl_gpio_direction_input pinctrl_gpio_direction_output pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_put pinctrl_select_state pinctrl_utils_add_map_configs pinctrl_utils_free_map pinctrl_utils_reserve_map pin_user_pages pin_user_pages_fast pin_user_pages_remote platform_bus_type platform_device_add platform_device_add_data platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_find_device_by_driver platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_irq_optional platform_get_resource platform_get_resource_byname __platform_register_drivers platform_unregister_drivers pm_genpd_add_device pm_genpd_add_subdomain pm_genpd_init pm_genpd_remove pm_genpd_remove_device pm_get_active_wakeup_sources __pm_relax pm_relax __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend pm_runtime_get_if_active __pm_runtime_idle __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_suspend_default_s2idle pm_system_wakeup pm_wakeup_dev_event pm_wakeup_ws_event pm_wq power_supply_changed power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_put power_supply_register power_supply_reg_notifier power_supply_set_property preempt_schedule preempt_schedule_notrace prepare_to_wait_event print_hex_dump _printk _printk_deferred proc_create proc_create_data proc_create_single_data proc_dointvec_minmax proc_mkdir proc_remove proc_set_user pskb_expand_head put_device __put_page put_pid __put_task_struct put_unused_fd put_vaddr_frames pwm_apply_state pwmchip_add pwmchip_remove queue_delayed_work_on queue_work_on ___ratelimit raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_lock_irqsave _raw_read_trylock _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave raw_spin_rq_lock_nested raw_spin_rq_unlock _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irqrestore rb_erase rb_first rb_insert_color rb_next rb_prev rb_replace_node rcu_idle_enter rcu_idle_exit __rcu_read_lock __rcu_read_unlock rdev_get_dev rdev_get_drvdata rdev_get_id rdev_get_regmap refcount_warn_saturate __refrigerator regcache_cache_only regcache_mark_dirty regcache_sync __register_blkdev __register_chrdev register_chrdev_region register_die_notifier register_kprobe register_module_notifier register_netdev register_netdevice_notifier register_oom_notifier register_pernet_subsys register_pm_notifier register_reboot_notifier __register_rpmsg_driver register_shrinker register_syscore_ops register_sysctl_table register_virtio_device register_virtio_driver regmap_bulk_read regmap_bulk_write regmap_field_read regmap_field_update_bits_base __regmap_init regmap_irq_get_domain regmap_raw_read regmap_raw_write regmap_read regmap_update_bits_base regmap_write regulator_count_voltages regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_get regulator_get_current_limit_regmap regulator_get_mode regulator_get_optional regulator_get_voltage regulator_get_voltage_sel_regmap regulator_is_enabled regulator_is_enabled_regmap regulator_list_voltage regulator_list_voltage_linear regulator_list_voltage_linear_range regulator_list_voltage_table regulator_map_voltage_iterate regulator_map_voltage_linear regulator_map_voltage_linear_range regulator_notifier_call_chain regulator_put regulator_set_active_discharge_regmap regulator_set_current_limit regulator_set_current_limit_regmap regulator_set_mode regulator_set_voltage regulator_set_voltage_sel_regmap regulator_set_voltage_time regulator_set_voltage_time_sel regulator_sync_voltage release_firmware release_pages __release_region remap_pfn_range remap_vmalloc_range remove_proc_entry remove_proc_subtree remove_wait_queue request_firmware __request_percpu_irq __request_region request_threaded_irq reset_control_assert reset_control_deassert reset_controller_register reset_control_reset rpmsg_create_ept rpmsg_destroy_ept rpmsg_find_device rpmsg_register_device rpmsg_send rpmsg_trysend rpmsg_unregister_device rproc_add rproc_add_subdev rproc_alloc rproc_boot rproc_del rproc_free rproc_get_by_phandle rproc_put rproc_remove_subdev rproc_report_crash rproc_shutdown rps_needed rtc_ktime_to_tm rtc_time64_to_tm rtc_tm_to_ktime rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_lock rtnl_unlock runqueues sched_clock sched_clock_register sched_feat_keys sched_setattr_nocheck sched_set_fifo sched_set_normal sched_setscheduler sched_setscheduler_nocheck sched_show_task sched_uclamp_used schedule schedule_timeout scmi_driver_register scmi_driver_unregister scmi_protocol_register scnprintf scsi_autopm_get_device scsi_autopm_put_device scsi_device_get scsi_device_put scsi_device_quiesce __scsi_execute __scsi_iterate_devices scsi_print_sense_hdr sdio_claim_host sdio_claim_irq sdio_disable_func sdio_enable_func sdio_f0_readb sdio_f0_writeb sdio_get_host_pm_caps sdio_readb sdio_readl sdio_readsb sdio_register_driver sdio_release_host sdio_release_irq sdio_set_block_size sdio_set_host_pm_flags sdio_signal_irq sdio_unregister_driver sdio_writeb sdio_writel sdio_writesb security_file_ioctl send_sig seq_hex_dump seq_lseek seq_open seq_open_private seq_printf seq_putc seq_puts seq_read seq_release seq_release_private seq_write serial8250_do_set_termios serial8250_do_shutdown serial8250_do_startup serial8250_get_port serial8250_register_8250_port serial8250_resume_port serial8250_rpm_get serial8250_rpm_put serial8250_suspend_port serial8250_unregister_port set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_normalized_timespec64 set_page_dirty_lock __SetPageMovable set_task_cpu set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_copy_from_buffer sg_copy_to_buffer sg_free_table sg_init_one sg_init_table sg_miter_next sg_miter_start sg_miter_stop sg_next __sg_page_iter_next __sg_page_iter_start shmem_file_setup si_mem_available si_meminfo simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_write_to_buffer single_open single_open_size single_release skb_add_rx_frag skb_clone skb_copy_expand skb_dequeue skb_pull skb_push skb_put skb_queue_tail skb_realloc_headroom skb_split skb_trim smp_call_function smp_call_function_single snd_card_add_dev_attr snd_ctl_boolean_mono_info snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_minmax snd_pcm_hw_constraint_step snd_pcm_lib_free_pages snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_free_for_all snd_pcm_lib_preallocate_pages_for_all snd_pcm_period_elapsed snd_pcm_set_ops snd_soc_add_component_controls snd_soc_bytes_info_ext snd_soc_bytes_tlv_callback snd_soc_card_jack_new snd_soc_component_exit_regmap snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_set_jack snd_soc_component_update_bits snd_soc_component_write snd_soc_dai_set_sysclk snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_enable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_pin_switch snd_soc_dapm_get_volsw snd_soc_dapm_info_pin_switch snd_soc_dapm_new_controls snd_soc_dapm_new_widgets snd_soc_dapm_put_enum_double snd_soc_dapm_put_pin_switch snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_jack_report snd_soc_new_compress snd_soc_of_get_dai_link_codecs snd_soc_poweroff snd_soc_put_volsw snd_soc_register_component snd_soc_resume snd_soc_rtdcom_lookup snd_soc_set_runtime_hwparams snd_soc_unregister_component snprintf soc_device_register soc_device_unregister sort __spi_alloc_controller spi_bus_type spi_delay_to_ns spi_finalize_current_transfer __spi_register_driver spi_setup spi_sync spmi_controller_add spmi_controller_alloc spmi_controller_remove __spmi_driver_register spmi_ext_register_read spmi_ext_register_readl spmi_ext_register_write spmi_ext_register_writel spmi_register_read spmi_register_write spmi_register_zero_write sprintf sprint_symbol sprint_symbol_no_offset srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister sscanf __stack_chk_fail stack_trace_save static_key_slow_dec static_key_slow_inc stop_one_cpu_nowait stpcpy strcasecmp strchr strcmp strcpy strcspn strim strlcat strlcpy strlen strncasecmp strncat strncmp strncpy strncpy_from_user strnlen strnstr strrchr strreplace strscpy strsep strstr submit_bio submit_bio_wait suspend_set_ops __sw_hweight32 __sw_hweight64 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu syscon_node_to_regmap syscon_regmap_lookup_by_compatible syscon_regmap_lookup_by_phandle syscon_regmap_lookup_by_phandle_optional sysfs_create_bin_file sysfs_create_file_ns sysfs_create_group sysfs_create_link sysfs_emit __sysfs_match_string sysfs_merge_group sysfs_remove_bin_file sysfs_remove_file_ns sysfs_remove_group sysfs_remove_link sysfs_streq system_freezable_power_efficient_wq system_freezable_wq system_freezing_cnt system_highpri_wq system_long_wq system_power_efficient_wq system_state system_unbound_wq system_wq sys_tz task_active_pid_ns task_sched_runtime __tasklet_hi_schedule tasklet_init tasklet_kill __tasklet_schedule tasklet_setup __task_pid_nr_ns __task_rq_lock thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_pressure thermal_zone_device_update thermal_zone_get_temp thermal_zone_get_zone_by_name tick_nohz_get_idle_calls_cpu timecounter_init timecounter_read timer_of_init timer_unstable_counter_workaround topology_clear_scale_freq_source topology_set_thermal_pressure _totalram_pages __trace_bprintk __trace_bputs trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_after_enqueue_task __traceiter_android_rvh_audio_usb_offload_disconnect __traceiter_android_rvh_can_migrate_task __traceiter_android_rvh_commit_creds __traceiter_android_rvh_cpu_overutilized __traceiter_android_rvh_dequeue_task __traceiter_android_rvh_dequeue_task_fair __traceiter_android_rvh_enqueue_task __traceiter_android_rvh_enqueue_task_fair __traceiter_android_rvh_exit_creds __traceiter_android_rvh_find_busiest_group __traceiter_android_rvh_find_busiest_queue __traceiter_android_rvh_find_energy_efficient_cpu __traceiter_android_rvh_find_lowest_rq __traceiter_android_rvh_find_new_ilb __traceiter_android_rvh_finish_prio_fork __traceiter_android_rvh_get_nohz_timer_target __traceiter_android_rvh_is_cpu_allowed __traceiter_android_rvh_new_task_stats __traceiter_android_rvh_override_creds __traceiter_android_rvh_prepare_prio_fork __traceiter_android_rvh_revert_creds __traceiter_android_rvh_rtmutex_prepare_setprio __traceiter_android_rvh_rto_next_cpu __traceiter_android_rvh_sched_newidle_balance __traceiter_android_rvh_select_task_rq_fair __traceiter_android_rvh_select_task_rq_rt __traceiter_android_rvh_selinux_avc_insert __traceiter_android_rvh_selinux_avc_lookup __traceiter_android_rvh_selinux_avc_node_delete __traceiter_android_rvh_selinux_avc_node_replace __traceiter_android_rvh_selinux_is_initialized __traceiter_android_rvh_setscheduler __traceiter_android_rvh_set_cpus_allowed_ptr_locked __traceiter_android_rvh_set_cpus_allowed_by_task __traceiter_android_rvh_set_user_nice __traceiter_android_rvh_tick_entry __traceiter_android_rvh_update_cpu_capacity __traceiter_android_vh_alter_futex_plist_add __traceiter_android_vh_alter_rwsem_list_add __traceiter_android_vh_arch_set_freq_scale __traceiter_android_vh_binder_restore_priority __traceiter_android_vh_binder_set_priority __traceiter_android_vh_binder_transaction_init __traceiter_android_vh_cgroup_attach __traceiter_android_vh_cgroup_set_task __traceiter_android_vh_check_bpf_syscall __traceiter_android_vh_check_file_open __traceiter_android_vh_check_mmap_file __traceiter_android_vh_check_uninterruptible_tasks __traceiter_android_vh_iommu_iovad_alloc_iova __traceiter_android_vh_iommu_iovad_free_iova __traceiter_android_vh_is_fpsimd_save __traceiter_android_vh_logbuf __traceiter_android_vh_rwsem_init __traceiter_android_vh_rwsem_wake __traceiter_android_vh_rwsem_write_finished __traceiter_android_vh_sched_pelt_multiplier __traceiter_android_vh_scheduler_tick __traceiter_android_vh_set_memory_nx __traceiter_android_vh_set_memory_rw __traceiter_android_vh_set_module_permit_after_init __traceiter_android_vh_set_module_permit_before_init __traceiter_android_vh_set_wake_flags __traceiter_android_vh_show_resume_epoch_val __traceiter_android_vh_show_suspend_epoch_val __traceiter_android_vh_syscall_prctl_finished __traceiter_cpu_frequency __traceiter_gpu_mem_total __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __traceiter_pelt_se_tp __traceiter_sched_update_nr_running_tp __traceiter_task_newtask __traceiter_xhci_urb_giveback trace_output_call __tracepoint_android_rvh_after_enqueue_task __tracepoint_android_rvh_can_migrate_task __tracepoint_android_rvh_commit_creds __tracepoint_android_rvh_cpu_overutilized __tracepoint_android_rvh_dequeue_task __tracepoint_android_rvh_dequeue_task_fair __tracepoint_android_rvh_enqueue_task __tracepoint_android_rvh_enqueue_task_fair __tracepoint_android_rvh_exit_creds __tracepoint_android_rvh_find_busiest_group __tracepoint_android_rvh_find_busiest_queue __tracepoint_android_rvh_find_energy_efficient_cpu __tracepoint_android_rvh_find_lowest_rq __tracepoint_android_rvh_find_new_ilb __tracepoint_android_rvh_finish_prio_fork __tracepoint_android_rvh_get_nohz_timer_target __tracepoint_android_rvh_is_cpu_allowed __tracepoint_android_rvh_new_task_stats __tracepoint_android_rvh_override_creds __tracepoint_android_rvh_prepare_prio_fork __tracepoint_android_rvh_revert_creds __tracepoint_android_rvh_rtmutex_prepare_setprio __tracepoint_android_rvh_rto_next_cpu __tracepoint_android_rvh_sched_newidle_balance __tracepoint_android_rvh_select_task_rq_fair __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_selinux_avc_insert __tracepoint_android_rvh_selinux_avc_lookup __tracepoint_android_rvh_selinux_avc_node_delete __tracepoint_android_rvh_selinux_avc_node_replace __tracepoint_android_rvh_selinux_is_initialized __tracepoint_android_rvh_setscheduler __tracepoint_android_rvh_set_cpus_allowed_ptr_locked __tracepoint_android_rvh_set_cpus_allowed_by_task __tracepoint_android_rvh_set_user_nice __tracepoint_android_rvh_tick_entry __tracepoint_android_rvh_update_cpu_capacity __tracepoint_android_vh_alter_futex_plist_add __tracepoint_android_vh_alter_rwsem_list_add __tracepoint_android_vh_arch_set_freq_scale __tracepoint_android_vh_binder_restore_priority __tracepoint_android_vh_binder_set_priority __tracepoint_android_vh_binder_transaction_init __tracepoint_android_vh_cgroup_attach __tracepoint_android_vh_cgroup_set_task __tracepoint_android_vh_check_bpf_syscall __tracepoint_android_vh_check_file_open __tracepoint_android_vh_check_mmap_file __tracepoint_android_vh_check_uninterruptible_tasks __tracepoint_android_vh_iommu_iovad_alloc_iova __tracepoint_android_vh_iommu_iovad_free_iova __tracepoint_android_vh_is_fpsimd_save __tracepoint_android_vh_logbuf __tracepoint_android_vh_rwsem_init __tracepoint_android_vh_rwsem_wake __tracepoint_android_vh_rwsem_write_finished __tracepoint_android_vh_sched_pelt_multiplier __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_set_memory_nx __tracepoint_android_vh_set_memory_rw __tracepoint_android_vh_set_module_permit_after_init __tracepoint_android_vh_set_module_permit_before_init __tracepoint_android_vh_set_wake_flags __tracepoint_android_vh_show_resume_epoch_val __tracepoint_android_vh_show_suspend_epoch_val __tracepoint_android_vh_syscall_prctl_finished __tracepoint_cpu_frequency __tracepoint_gpu_mem_total __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking __tracepoint_pelt_se_tp tracepoint_probe_register tracepoint_probe_unregister __tracepoint_sched_update_nr_running_tp tracepoint_srcu __tracepoint_task_newtask __tracepoint_xhci_urb_giveback trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq __trace_puts trace_raw_output_prep trace_seq_printf trace_seq_putc tracing_off try_module_get try_wait_for_completion tty_chars_in_buffer tty_driver_flush_buffer tty_flip_buffer_push tty_insert_flip_string_fixed_flag tty_ldisc_flush tty_register_ldisc tty_set_termios tty_termios_baud_rate tty_termios_encode_baud_rate tty_unregister_ldisc tty_write_room typec_mux_get_drvdata typec_mux_register typec_mux_set typec_mux_unregister typec_partner_set_identity typec_register_partner typec_register_port typec_set_data_role typec_set_orientation typec_set_pwr_opmode typec_set_pwr_role typec_set_vconn_role typec_switch_get_drvdata typec_switch_register typec_switch_unregister typec_unregister_partner typec_unregister_port uart_get_baud_rate uart_get_divisor uart_update_timeout u_audio_get_mute u_audio_get_volume u_audio_set_mute u_audio_set_volume u_audio_start_capture u_audio_start_playback u_audio_stop_capture u_audio_stop_playback __ubsan_handle_cfi_check_fail_abort uclamp_eff_value __udelay ufshcd_add_command_trace ufshcd_clk_scaling_start_busy ufshcd_clk_scaling_update_busy ufshcd_delay_us ufshcd_dme_configure_adapt ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_fixup_dev_quirks ufshcd_get_pwr_dev_param ufshcd_get_vreg ufshcd_hba_enable ufshcd_hba_stop ufshcd_init_pwr_dev_param ufshcd_link_recovery ufshcd_make_hba_operational ufshcd_pltfrm_init ufshcd_pltfrm_shutdown ufshcd_populate_vreg ufshcd_query_attr ufshcd_query_descriptor_retry ufshcd_query_flag ufshcd_read_desc_param ufshcd_remove ufshcd_resume_complete ufshcd_runtime_resume ufshcd_runtime_suspend ufshcd_suspend_prepare ufshcd_system_resume ufshcd_system_suspend ufshcd_transfer_rsp_status ufshcd_uic_change_pwr_mode ufshcd_uic_hibern8_exit ufshcd_update_evt_hist unlock_page unmap_mapping_range unpin_user_page unpin_user_pages unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_die_notifier unregister_module_notifier unregister_netdev unregister_netdevice_notifier unregister_oom_notifier unregister_pernet_subsys unregister_pm_notifier unregister_reboot_notifier unregister_rpmsg_driver unregister_shrinker unregister_sysctl_table unregister_virtio_device unregister_virtio_driver up update_devfreq update_rq_clock up_read up_write usb_add_config usb_add_function usb_add_gadget_udc usb_add_hcd usb_assign_descriptors usb_composite_probe usb_composite_unregister usb_copy_descriptors usb_create_hcd usb_create_shared_hcd usb_debug_root usb_decode_interval usb_del_gadget_udc usb_deregister usb_disabled usb_ep_alloc_request usb_ep_autoconfig usb_ep_dequeue usb_ep_disable usb_ep_enable usb_ep_free_request usb_ep_queue usb_ep_set_halt usb_ep_set_maxpacket_limit usb_ep_type_string usb_free_all_descriptors usb_function_register usb_function_unregister usb_gadget_connect usb_gadget_disconnect usb_gadget_giveback_request usb_gadget_map_request usb_gadget_probe_driver usb_gadget_set_selfpowered usb_gadget_set_state usb_gadget_unmap_request usb_get_dr_mode usb_get_function usb_get_function_instance usb_get_maximum_speed usb_get_role_switch_default_mode usb_gstrings_attach usb_hcd_end_port_resume usb_hcd_is_primary_hcd usb_hcd_poll_rh_status usb_hcd_start_port_resume usb_hub_clear_tt_buffer usb_interface_id usb_wakeup_notification usbnet_change_mtu usbnet_disconnect usbnet_get_drvinfo usbnet_get_endpoints usbnet_get_link usbnet_get_link_ksettings_mii usbnet_get_msglevel usbnet_link_change usbnet_nway_reset usbnet_open usbnet_probe usbnet_read_cmd usbnet_read_cmd_nopm usbnet_resume usbnet_set_link_ksettings_mii usbnet_set_msglevel usbnet_skb_return usbnet_start_xmit usbnet_stop usbnet_suspend usbnet_tx_timeout usbnet_unlink_rx_urbs usbnet_update_max_qlen usbnet_write_cmd usbnet_write_cmd_async usbnet_write_cmd_nopm usb_os_desc_prepare_interf_dir usb_put_function usb_put_function_instance usb_put_hcd usb_register_driver usb_remove_function usb_remove_hcd usb_role_string usb_role_switch_get usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_set_role usb_role_switch_unregister usb_speed_string usb_string_id __usecs_to_jiffies usleep_range_state uuid_null v4l2_compat_ioctl32 v4l2_ctrl_find v4l2_ctrl_g_ctrl v4l2_ctrl_g_ctrl_int64 v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup __v4l2_ctrl_modify_range v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_new_std_menu_items v4l2_ctrl_request_complete v4l2_ctrl_request_setup __v4l2_ctrl_s_ctrl __v4l2_ctrl_s_ctrl_compound v4l2_ctrl_subdev_subscribe_event v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev __v4l2_device_register_subdev_nodes v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_queue v4l2_event_queue_fh v4l2_event_subdev_unsubscribe v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_is_singular v4l2_fh_open __v4l2_find_nearest_size v4l2_format_info v4l2_i2c_subdev_init v4l2_m2m_buf_copy_metadata v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_dqbuf v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_curr_priv v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_create_bufs v4l2_m2m_ioctl_dqbuf v4l2_m2m_ioctl_expbuf v4l2_m2m_ioctl_prepare_buf v4l2_m2m_ioctl_qbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_next_buf v4l2_m2m_qbuf v4l2_m2m_register_media_controller v4l2_m2m_release v4l2_m2m_request_queue v4l2_m2m_resume v4l2_m2m_streamoff v4l2_m2m_suspend v4l2_m2m_try_schedule v4l2_m2m_unregister_media_controller v4l2_pipeline_link_notify v4l2_src_change_event_subscribe v4l2_subdev_call_wrappers v4l2_subdev_init v4l2_subdev_link_validate v4l2_subdev_link_validate_default v4l_bound_align_image vabits_actual vb2_buffer_done vb2_common_vm_ops vb2_create_framevec vb2_destroy_framevec vb2_dma_contig_memops vb2_fop_mmap vb2_fop_poll vb2_fop_release vb2_ioctl_create_bufs vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_prepare_buf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_queue_init vb2_queue_release vb2_request_object_is_buffer vb2_request_queue vb2_request_validate vchan_dma_desc_free_list vchan_init vchan_tx_desc_free vchan_tx_submit vfree video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 __video_register_device video_unregister_device virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_detach_unused_buf virtqueue_get_buf virtqueue_get_vring_size virtqueue_kick_prepare virtqueue_notify vmalloc vmalloc_to_page vmalloc_to_pfn vmalloc_user vmap vm_event_states vmf_insert_pfn_prot vm_map_ram vm_node_stat vm_unmap_ram vm_zone_stat vring_del_virtqueue vring_interrupt vring_new_virtqueue vscnprintf vsnprintf vsprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_killable wait_for_completion_killable_timeout wait_for_completion_timeout wait_woken __wake_up __wake_up_locked wake_up_process wakeup_source_add wakeup_source_create wakeup_source_destroy wakeup_source_register wakeup_source_remove wakeup_source_unregister __warn_printk watchdog_init_timeout watchdog_set_restart_priority woken_wake_function work_busy work_on_cpu ww_mutex_lock ww_mutex_unlock xhci_add_endpoint xhci_check_bandwidth xhci_drop_endpoint xhci_gen_setup xhci_get_endpoint_index xhci_get_ep_ctx xhci_init_driver xhci_reset_bandwidth zlib_deflate zlib_deflateEnd zlib_deflateInit2 zlib_deflateReset zlib_deflate_workspacesize # preserved by --additions-only alloc_netdev_mqs build_skb clkdev_add clkdev_drop clk_register_gate clk_unregister_gate cpufreq_frequency_table_get_index dev_change_flags do_trace_netlink_extack ether_setup gpio_request_one hci_alloc_dev_priv hci_free_dev hci_recv_frame hci_register_dev hci_unregister_dev hdmi_audio_infoframe_init hex_dump_to_buffer kthread_cancel_delayed_work_sync kthread_delayed_work_timer_fn kthread_queue_delayed_work napi_complete_done __napi_schedule_irqoff netif_device_attach netif_device_detach netif_tx_stop_all_queues netlink_broadcast __nla_parse nla_put_nohdr nla_strscpy page_pool_alloc_pages page_pool_create page_pool_put_page pci_alloc_irq_vectors_affinity pci_choose_state pci_free_irq_vectors pci_irq_vector pcim_enable_device pcim_iomap_regions pcim_iomap_table pcim_iounmap_regions __pci_register_driver pci_restore_state pci_save_state pci_set_master pci_set_power_state pci_unregister_driver _raw_spin_trylock_bh radix_tree_maybe_preload register_inetaddr_notifier regmap_multi_reg_write regmap_register_patch request_firmware_nowait rtnl_is_locked sched_setattr sched_set_fifo_low sg_pcopy_from_buffer sg_pcopy_to_buffer skb_copy skb_pull_rcsum skb_queue_head skb_queue_purge snd_ctl_add snd_ctl_new1 snd_pcm_add_chmap_ctls snd_pcm_create_iec958_consumer_default snd_pcm_fill_iec958_consumer snd_pcm_fill_iec958_consumer_hw_params snd_pcm_hw_constraint_eld snd_soc_add_component snd_soc_component_initialize snd_soc_dapm_kcontrol_widget snd_soc_dpcm_get_substream snd_soc_get_enum_double snd_soc_of_parse_audio_routing snd_soc_put_enum_double strpbrk strspn unregister_inetaddr_notifier wireless_send_event [abi_symbol_list] access_process_vm activate_task add_cpu add_device_randomness add_memory add_memory_subsection add_timer add_uevent_var add_wait_queue aes_encrypt aes_expandkey alarm_expires_remaining alloc_anon_inode alloc_chrdev_region alloc_io_pgtable_ops __alloc_pages __alloc_percpu __alloc_skb alloc_skb_with_frags alloc_workqueue amba_bustype amba_driver_register amba_driver_unregister android_debug_for_each_module android_debug_per_cpu_symbol android_debug_symbol android_rvh_probe_register anon_inode_getfile __arch_clear_user __arch_copy_from_user __arch_copy_to_user arch_freq_scale arch_timer_read_counter argv_free argv_split arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_smc __arm_smccc_sve_check async_schedule_node async_synchronize_cookie atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function available_idle_cpu backlight_device_get_by_type backlight_device_set_brightness __balance_callbacks balance_push_callback bio_associate_blkg bio_endio bio_end_io_acct_remapped bio_start_io_acct bitmap_allocate_region __bitmap_and __bitmap_clear bitmap_find_next_zero_area_off bitmap_free __bitmap_or bitmap_print_to_pagebuf bitmap_release_region __bitmap_set bitmap_zalloc __blk_alloc_disk blk_cleanup_disk blk_cleanup_queue blk_execute_rq_nowait blk_freeze_queue_start blk_get_queue blk_get_request blk_mark_disk_dead blk_mq_alloc_request blk_mq_alloc_request_hctx blk_mq_complete_request_remote blk_mq_delay_kick_requeue_list blk_mq_free_request blk_mq_freeze_queue blk_mq_freeze_queue_wait blk_mq_freeze_queue_wait_timeout blk_mq_init_queue blk_mq_map_queues blk_mq_pci_map_queues blk_mq_requeue_request blk_mq_rq_cpu blk_mq_tagset_busy_iter blk_mq_tagset_wait_completed_request blk_mq_tag_to_rq blk_mq_unfreeze_queue blk_mq_update_nr_hw_queues blk_put_queue blk_put_request blk_queue_chunk_sectors blk_queue_dma_alignment blk_queue_flag_clear blk_queue_flag_set blk_queue_flag_test_and_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_queue_virt_boundary blk_rq_map_user blk_rq_map_user_iov blk_rq_unmap_user blk_sync_queue blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run10 bpf_trace_run11 bpf_trace_run12 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 bus_find_device bus_for_each_dev bus_register bus_set_iommu bus_unregister caches_clean_inval_pou call_rcu call_rcu_tasks call_rcu_tasks_trace call_srcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag cgroup_path_ns cgroup_taskset_first cgroup_taskset_next __check_object_size check_preempt_curr check_zeroed_user __class_create class_destroy class_find_device class_for_each_device class_interface_unregister __class_register class_unregister cleanup_srcu_struct clear_page __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_put_all clk_bulk_unprepare __clk_determine_rate clk_disable clk_enable clk_fixed_factor_ops clk_fixed_rate_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_get_sys clk_hw_get_flags clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_is_prepared clk_hw_register clk_hw_round_rate clk_hw_unregister __clk_is_enabled __clk_mux_determine_rate_closest clk_notifier_register clk_notifier_unregister clk_prepare clk_put clk_restore_context clk_round_rate clk_save_context clk_set_parent clk_set_rate clk_sync_state clk_unprepare close_fd cma_alloc cma_release compat_ptr_ioctl complete complete_all completion_done cond_synchronize_rcu config_ep_by_speed configfs_register_group configfs_register_subsystem configfs_unregister_subsystem config_group_init config_group_init_type_name config_item_get config_item_put config_item_set_name console_stop console_suspend_enabled __const_udelay consume_skb contig_page_data _copy_from_iter copy_from_kernel_nofault __cpu_active_mask cpu_bit_bitmap cpufreq_cpu_get cpufreq_cpu_get_raw cpufreq_cpu_put cpufreq_disable_fast_switch cpufreq_driver_fast_switch cpufreq_driver_resolve_freq __cpufreq_driver_target cpufreq_enable_boost_support cpufreq_enable_fast_switch cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_attr_scaling_boost_freqs cpufreq_generic_frequency_table_verify cpufreq_get_driver_data cpufreq_get_policy cpufreq_register_driver cpufreq_register_governor cpufreq_register_notifier cpufreq_unregister_driver __cpuhp_remove_state __cpuhp_setup_state __cpuhp_setup_state_cpuslocked __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpuidle_governor_latency_req cpuidle_register_governor cpu_irqtime cpu_is_hotpluggable cpu_latency_qos_add_request cpu_latency_qos_remove_request cpu_latency_qos_request_active cpu_latency_qos_update_request cpumask_any_and_distribute cpumask_next cpumask_next_and cpu_number __cpu_online_mask cpu_pm_register_notifier cpu_pm_unregister_notifier __cpu_possible_mask __cpu_present_mask cpupri_find_fitness cpu_scale cpus_read_lock cpus_read_unlock cpu_subsys cpu_topology crc8 crc8_populate_msb crypto_ahash_digest crypto_alloc_ahash crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_dequeue_request crypto_destroy_tfm crypto_enqueue_request crypto_has_alg crypto_init_queue crypto_unregister_ahash crypto_unregister_skcipher css_next_child csum_partial _ctype datagram_poll deactivate_task debugfs_attr_read debugfs_attr_write debugfs_create_blob debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_file_unsafe debugfs_create_u32 debugfs_create_u8 debugfs_create_ulong debugfs_create_x32 debugfs_create_x64 debugfs_create_x8 debugfs_file_get debugfs_file_put debugfs_lookup debugfs_remove debugfs_rename debug_locks_off debug_locks_silent dec_node_page_state dec_zone_page_state default_llseek default_wake_function deferred_free delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue dev_change_flags dev_coredumpm dev_driver_string _dev_err dev_err_probe devfreq_get_devfreq_by_node dev_fwnode dev_get_by_index dev_get_by_name dev_get_by_name_rcu dev_get_regmap device_add device_add_disk device_add_groups device_create device_create_file device_del device_destroy device_find_child device_for_each_child device_get_match_data device_get_phy_mode device_initialize device_init_wakeup device_link_add device_match_fwnode device_match_name device_property_present device_property_read_string device_property_read_u16_array device_property_read_u32_array device_register device_remove_file device_remove_file_self device_show_int device_store_int device_unregister device_wakeup_disable _dev_info devm_add_action devm_alloc_etherdev_mqs devm_blk_ksm_init devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_clk_hw_register devm_clk_register devm_device_remove_group devm_drm_panel_bridge_add devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_dev_unregister devm_extcon_register_notifier devm_free_irq devm_gpiod_get devm_gpiod_get_optional devm_gpio_request devm_gpio_request_one devm_hwspin_lock_register devm_iio_channel_get devm_iio_device_alloc __devm_iio_device_register devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_krealloc devm_kstrdup devm_led_classdev_register_ext devm_nvmem_cell_get devm_nvmem_device_get devm_nvmem_register devm_of_clk_add_hw_provider devm_of_clk_del_provider devm_of_icc_get __devm_of_phy_provider_register devm_of_platform_populate devm_of_pwm_get devm_pci_alloc_host_bridge devm_phy_create devm_phy_get devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_platform_get_and_ioremap_resource devm_platform_ioremap_resource devm_platform_ioremap_resource_byname devm_power_supply_register devm_regmap_del_irq_chip devm_regmap_field_alloc __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_regulator_register devm_regulator_register_notifier devm_request_any_context_irq __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_rtc_allocate_device __devm_rtc_register_device devm_thermal_of_cooling_device_register devm_thermal_zone_of_sensor_register devm_usb_get_phy_by_node _dev_notice dev_pm_domain_attach dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_genpd_add_notifier dev_pm_genpd_remove_notifier dev_pm_genpd_set_next_wakeup dev_pm_genpd_set_performance_state dev_pm_opp_add dev_pm_opp_adjust_voltage dev_pm_opp_disable dev_pm_opp_enable dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_opp_count dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_find_icc_paths dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_clkname dev_pm_opp_remove_all_dynamic dev_pm_opp_set_clkname dev_pm_opp_set_opp dev_pm_opp_set_rate dev_pm_opp_set_sharing_cpus dev_pm_qos_add_notifier dev_pm_qos_add_request dev_pm_qos_expose_latency_tolerance dev_pm_qos_hide_latency_tolerance dev_pm_qos_remove_notifier dev_pm_qos_remove_request dev_pm_qos_update_request dev_pm_qos_update_user_latency_tolerance devres_add __devres_alloc_node devres_free devres_release dev_set_name _dev_warn disable_irq disable_irq_nosync disable_percpu_irq disk_end_io_acct disk_start_io_acct divider_get_val divider_recalc_rate divider_ro_round_rate_parent divider_round_rate_parent dma_alloc_attrs dma_alloc_pages dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_begin_cpu_access_partial dma_buf_detach dma_buf_end_cpu_access dma_buf_end_cpu_access_partial dma_buf_export dma_buf_fd dma_buf_get dma_buf_get_flags dma_buf_map_attachment dma_buf_put dma_buf_set_name dma_buf_unmap_attachment dma_contiguous_default_area dma_fence_add_callback dma_fence_array_create dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_signal_timestamp_locked dma_fence_wait_timeout dma_free_attrs dma_free_pages dma_get_sgtable_attrs dma_get_slave_channel dma_heap_add dma_heap_buffer_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dmam_alloc_attrs dma_map_page_attrs dma_map_resource dma_map_sg_attrs dma_map_sgtable dma_max_mapping_size dmam_free_coherent dma_release_channel dma_request_chan dma_resv_fini dma_resv_init dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs do_exit __do_once_done __do_once_start do_trace_rcu_torture_read double_rq_lock do_wait_intr do_wait_intr_irq down_read down_write d_path dput drain_workqueue driver_find_device driver_register driver_unregister __drm_atomic_helper_connector_reset drm_atomic_helper_update_legacy_modeset_state drm_atomic_helper_wait_for_vblanks drm_atomic_set_fence_for_plane drm_atomic_set_mode_for_crtc drm_atomic_state_default_release drm_atomic_state_init drm_bridge_chain_disable drm_bridge_chain_enable drm_bridge_chain_post_disable drm_bridge_chain_pre_enable drm_client_init drm_client_modeset_commit_locked drm_client_register drm_connector_list_update drm_crtc_vblank_reset drm_dp_atomic_find_vcpi_slots drm_dp_atomic_release_vcpi_slots drm_dp_calc_pbn_mode drm_dp_check_act_status drm_dp_find_vcpi_slots drm_dp_mst_allocate_vcpi drm_dp_mst_deallocate_vcpi drm_dp_mst_detect_port drm_dp_mst_dump_topology drm_dp_mst_get_edid drm_dp_mst_get_port_malloc drm_dp_mst_hpd_irq drm_dp_mst_put_port_malloc drm_dp_mst_reset_vcpi_slots drm_dp_mst_topology_mgr_destroy drm_dp_mst_topology_mgr_init drm_dp_mst_topology_mgr_set_mst drm_dp_send_power_updown_phy drm_dp_update_payload_part1 drm_dp_update_payload_part2 drm_edid_duplicate drm_edid_is_valid drm_event_reserve_init_locked drm_framebuffer_lookup drm_framebuffer_remove drm_framebuffer_unregister_private drm_get_connector_status_name drm_is_current_master drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_master_get drm_master_put drm_mode_convert_umode drm_mode_create_dp_colorspace_property drm_mode_create_tile_group drm_mode_is_420_only drm_mode_match drm_mode_prune_invalid drm_mode_set_crtcinfo drm_mode_set_name drm_mode_sort drm_object_property_set_value drm_wait_one_vblank __dynamic_dev_dbg __dynamic_pr_debug edac_device_add_device edac_device_alloc_ctl_info edac_device_alloc_index edac_device_del_device edac_device_free_ctl_info edac_device_handle_ce_count edac_device_handle_ue_count enable_irq enable_percpu_irq ethnl_cable_test_fault_length ethnl_cable_test_result ethtool_convert_legacy_u32_to_link_mode ethtool_convert_link_mode_to_legacy_u32 eventfd_ctx_fdget eventfd_ctx_fileget eventfd_ctx_put eventfd_ctx_remove_wait_queue eventfd_signal event_triggers_call extcon_get_edev_by_phandle extcon_get_edev_name extcon_get_extcon_dev extcon_get_property extcon_get_property_capability extcon_get_state extcon_register_notifier extcon_set_property extcon_set_property_capability extcon_set_state extcon_set_state_sync fasync_helper __fdget fd_install fget _find_first_bit _find_first_zero_bit _find_next_bit __find_vma find_vpid finish_wait firmware_request_nowarn flow_block_cb_setup_simple flow_rule_match_basic flow_rule_match_ipv4_addrs flow_rule_match_ports flow_rule_match_vlan flush_dcache_page flush_delayed_fput flush_delayed_work flush_work flush_workqueue fput free_io_pgtable_ops free_irq __free_pages free_pages free_percpu free_percpu_irq freq_qos_add_request freq_qos_remove_request freq_qos_update_request fsync_bdev ftrace_dump fwnode_find_reference fwnode_get_next_child_node fwnode_handle_get fwnode_handle_put fwnode_property_present fwnode_property_read_string fwnode_property_read_u32_array generic_device_group generic_file_llseek generic_handle_domain_irq generic_handle_irq generic_iommu_put_resv_regions geni_icc_disable geni_icc_enable geni_icc_get geni_icc_set_bw geni_se_clk_freq_match geni_se_config_packing geni_se_get_qup_hw_version geni_se_init geni_se_resources_off geni_se_resources_on geni_se_rx_dma_prep geni_se_rx_dma_unprep geni_se_select_mode geni_se_tx_dma_prep geni_se_tx_dma_unprep __genphy_config_aneg genphy_read_abilities genphy_read_mmd_unsupported genphy_read_status genphy_restart_aneg genphy_resume genphy_soft_reset genphy_suspend genphy_write_mmd_unsupported gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_first_fit_order_align gen_pool_free_owner gen_pool_has_addr gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys getboottime64 get_cpu_device get_device get_each_dmabuf get_each_object_track __get_free_pages get_governor_parent_kobj get_option get_page_owner_handle get_pfnblock_flags_mask get_pid_task get_random_bytes get_sg_io_hdr get_slabinfo get_state_synchronize_rcu get_state_synchronize_srcu __get_task_comm get_task_mm get_task_pid get_unmapped_area get_unused_fd_flags get_user_ifreq get_zeroed_page gic_nonsecure_priorities gic_resume gic_v2_resume gov_attr_set_init gov_attr_set_put governor_sysfs_ops gpiochip_add_data_with_key gpiochip_add_pin_range gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_line_is_valid gpiochip_lock_as_irq gpiochip_remove gpiochip_unlock_as_irq gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_optional gpiod_get_raw_value gpiod_get_value gpiod_set_raw_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_free_array gpio_request gpio_to_desc gro_cells_destroy gro_cells_init gro_cells_receive handle_bad_irq handle_edge_irq handle_fasteoi_ack_irq handle_fasteoi_irq handle_level_irq handle_nested_irq handle_simple_irq handle_sysrq hashlen_string hex_dump_to_buffer housekeeping_cpumask housekeeping_overridden housekeeping_test_cpu hrtimer_active hrtimer_cancel hrtimer_forward __hrtimer_get_remaining hrtimer_init hrtimer_init_sleeper hrtimer_start_range_ns hrtimer_try_to_cancel hvc_alloc hvc_kick hvc_poll hvc_remove hwspin_lock_free hwspin_lock_request_specific __hwspin_lock_timeout __hwspin_unlock hypervisor_kobj i2c_add_adapter i2c_del_adapter i2c_del_driver i2c_get_dma_safe_msg_buf i2c_put_dma_safe_msg_buf i2c_register_driver i2c_smbus_read_i2c_block_data i3c_device_disable_ibi i3c_device_enable_ibi i3c_device_free_ibi i3c_device_get_info i3c_device_request_ibi i3cdev_to_dev i3c_generic_ibi_alloc_pool i3c_generic_ibi_free_pool i3c_generic_ibi_get_free_slot i3c_generic_ibi_recycle_slot i3c_master_add_i3c_dev_locked i3c_master_disec_locked i3c_master_do_daa i3c_master_enec_locked i3c_master_entdaa_locked i3c_master_get_free_addr i3c_master_queue_ibi i3c_master_register i3c_master_set_info i3c_master_unregister icc_get icc_link_create icc_node_add icc_node_create icc_node_del icc_node_destroy icc_nodes_remove icc_provider_add icc_provider_del icc_put icc_set_bw icc_set_tag icc_sync_state ida_alloc_range ida_free idr_alloc idr_alloc_cyclic idr_alloc_u32 idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove idr_replace iio_buffer_init iio_buffer_put iio_channel_get iio_channel_release iio_device_attach_buffer iio_device_claim_direct_mode iio_device_release_direct_mode iio_push_event iio_push_to_buffers iio_read_channel_processed iio_write_channel_raw import_iovec in4_pton inc_node_page_state inc_zone_page_state in_egroup_p inet6_ioctl __inet6_lookup_established inet_ioctl __inet_lookup_established init_iova_domain init_net init_pid_ns init_pseudo __init_rwsem init_srcu_struct __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_close_device input_event input_ff_create input_ff_destroy input_free_device input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_set_capability input_unregister_handle input_unregister_handler interval_tree_insert interval_tree_iter_first interval_tree_iter_next interval_tree_remove int_sqrt iomem_resource iommu_alloc_resv_region iommu_attach_device iommu_detach_device iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_dma_enable_best_fit_algo iommu_dma_get_resv_regions iommu_domain_alloc iommu_domain_free iommu_fwspec_add_ids iommu_fwspec_free iommu_get_domain_for_dev iommu_get_msi_cookie iommu_group_for_each_dev iommu_group_get iommu_group_get_iommudata iommu_group_put iommu_group_ref_get iommu_group_set_iommudata iommu_iova_to_phys iommu_map iommu_map_sg iommu_present iommu_put_dma_cookie iommu_unmap __ioread32_copy __ioremap iounmap iov_iter_init iov_iter_revert __iowrite32_copy ip_compute_csum ipi_desc_get ip_route_output_flow iput __ipv6_addr_type ipv6_ext_hdr ipv6_skip_exthdr ipv6_stub irq_check_status_bit irq_chip_ack_parent irq_chip_disable_parent irq_chip_enable_parent irq_chip_eoi_parent irq_chip_get_parent_state irq_chip_mask_parent irq_chip_retrigger_hierarchy irq_chip_set_affinity_parent irq_chip_set_parent_state irq_chip_set_type_parent irq_chip_set_vcpu_affinity_parent irq_chip_set_wake_parent irq_chip_unmask_parent irq_create_fwspec_mapping irq_dispose_mapping __irq_domain_add irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_disconnect_hierarchy irq_domain_free_irqs_common irq_domain_free_irqs_parent irq_domain_get_irq_data irq_domain_remove irq_domain_set_hwirq_and_chip irq_domain_set_info irq_domain_update_bus_token irq_domain_xlate_twocell irq_do_set_affinity irq_find_matching_fwspec irq_get_irqchip_state irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity_hint irq_set_affinity_notifier irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irqchip_state irq_set_irq_type irq_set_irq_wake irq_set_parent irq_to_desc irq_work_queue irq_work_queue_on irq_work_sync is_dma_buf_file isolate_and_split_free_page isolate_anon_lru_page is_vmalloc_addr iterate_fd jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_bind kernel_connect kernel_getsockname kernel_kobj kernel_power_off kernel_recvmsg kernel_restart kernel_sendmsg kernfs_find_and_get_ns kernfs_notify kernfs_put kern_mount kern_unmount __kfifo_in __kfifo_out kfree kfree_const kfree_skb kfree_skb_list kick_all_cpus_sync kill_anon_super kill_fasync kimage_vaddr kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_create_usercopy kmem_cache_destroy kmem_cache_free kmemdup kmsg_dump_get_buffer kmsg_dump_register kmsg_dump_rewind kmsg_dump_unregister kobject_add kobject_create_and_add kobject_del kobject_get kobject_get_path kobject_init kobject_init_and_add kobject_put kobject_set_name kobject_uevent kobj_sysfs_ops krealloc kset_create_and_add kset_find_obj kset_unregister ksize ksoftirqd kstat kstat_irqs_cpu kstat_irqs_usr kstrdup kstrdup_const kstrndup kstrtobool kstrtoint kstrtoll kstrtos8_from_user kstrtou16 kstrtou16_from_user kstrtou8 kstrtou8_from_user kstrtouint kstrtouint_from_user kstrtoul_from_user kstrtoull kstrtoull_from_user kswapd kthread_bind kthread_bind_mask kthread_cancel_work_sync kthread_create_on_node kthread_flush_worker __kthread_init_worker kthread_queue_delayed_work kthread_queue_work kthread_should_stop kthread_stop kthread_worker_fn ktime_get ktime_get_mono_fast_ns ktime_get_real_ts64 ktime_get_seconds ktime_get_ts64 ktime_get_with_offset kvfree kvmalloc_node led_classdev_flash_register_ext led_classdev_flash_unregister led_classdev_unregister led_trigger_event led_trigger_register_simple led_trigger_unregister_simple __list_add_valid __list_del_entry_valid list_sort llist_add_batch llist_reverse_order __local_bh_enable_ip __lock_page lock_sock_nested lookup_page_ext match_string mbox_chan_received_data mbox_chan_txdone mbox_client_txdone mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message mdiobus_alloc_size mdiobus_free mdiobus_get_phy mdiobus_read mdiobus_unregister mdiobus_write mdio_device_create mdio_device_free memblock_end_of_DRAM memblock_free __memcat_p memchr memchr_inv memcmp memcpy __memcpy_fromio __memcpy_toio mem_dump_obj memdup_user memmove memory_block_size_bytes memory_read_from_buffer memparse mempool_alloc mempool_alloc_slab mempool_create mempool_create_node mempool_destroy mempool_free mempool_free_slab mempool_kfree mempool_kmalloc memremap mem_section memset memset64 __memset_io memstart_addr memunmap migrate_pages migrate_swap __migrate_task mipi_dsi_dcs_set_tear_off misc_deregister misc_register mmc_cqe_request_done mmc_execute_tuning mmc_of_parse __mmc_poll_for_busy mmc_prepare_busy_cmd mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_retune_hold mmc_retune_release mmc_select_card mmc_send_tuning mmc_set_bus_mode mmc_set_bus_width mmc_set_clock mmc_set_timing mmput mod_delayed_work_on mod_node_page_state mod_timer __module_get module_layout module_put __msecs_to_jiffies msleep __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock neigh_destroy neigh_resolve_output netdev_alert netdev_rss_key_fill netif_receive_skb_list nla_find nla_reserve nla_reserve_64bit __nla_validate no_llseek nonseekable_open nr_cpu_ids nr_ipi_get nr_irqs ns_capable ns_to_timespec64 __num_online_cpus nvmem_cell_get nvmem_cell_put nvmem_cell_read nvmem_cell_read_u32 nvmem_cell_write nvmem_device_read nvmem_device_write of_address_to_resource of_alias_get_id of_clk_add_hw_provider of_clk_add_provider of_clk_del_provider of_clk_hw_simple_get of_clk_src_simple_get of_count_phandle_with_args of_cpu_node_to_id of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure_id of_dma_controller_free of_dma_controller_register of_dma_is_coherent of_drm_find_panel of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_node_by_name of_find_node_by_phandle of_find_node_opts_by_path of_find_node_with_property of_find_property of_fwnode_ops of_genpd_add_provider_onecell of_genpd_del_provider __of_get_address of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_next_parent of_get_parent of_get_property of_get_regulator_init_data of_get_required_opp_performance_state of_graph_get_next_endpoint of_graph_get_port_parent of_graph_get_remote_endpoint of_graph_is_present of_graph_parse_endpoint of_hwspin_lock_get_id of_icc_get of_icc_xlate_onecell of_iomap of_irq_find_parent of_irq_get of_irq_get_byname of_irq_parse_one of_match_device of_match_node of_mdiobus_register of_modalias_node of_n_addr_cells of_node_name_eq of_n_size_cells of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_phy_is_fixed_link of_phy_simple_xlate of_platform_depopulate of_platform_device_create of_platform_device_destroy of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_root of_thermal_get_ntrips of_thermal_get_trip_points of_thermal_is_trip_valid of_translate_address on_each_cpu_cond_mask oops_in_progress page_endio page_is_ram page_mapping page_pool_alloc_pages page_pool_create page_pool_destroy page_pool_put_page page_pool_release_page panic panic_notifier_list panic_timeout param_array_ops param_get_int param_get_string param_get_uint param_get_ullong param_ops_bool param_ops_charp param_ops_int param_ops_uint param_ops_ullong param_set_bool param_set_copystring param_set_uint param_set_uint_minmax pci_aer_clear_nonfatal_status pcibios_resource_to_bus pci_bus_type pci_dev_get pci_device_group pci_device_is_present pci_dev_present pci_dev_put pci_disable_pcie_error_reporting pcie_aspm_enabled pcie_capability_clear_and_set_word pcie_capability_read_word pci_enable_device pci_enable_pcie_error_reporting pci_find_ext_capability pci_free_irq pci_get_device pci_get_domain_bus_and_slot pci_host_probe pci_load_and_free_saved_state pci_load_saved_state pci_msi_create_irq_domain pci_msi_mask_irq pci_msi_unmask_irq pci_read_config_dword pci_read_config_word __pci_register_driver pci_request_irq pci_restore_state pci_save_state pci_set_master pci_sriov_configure_simple pci_store_saved_state pci_walk_bus pci_write_config_dword pcpu_nr_pages __percpu_down_read percpu_down_write percpu_free_rwsem __percpu_init_rwsem __per_cpu_offset per_cpu_ptr_to_phys percpu_up_write perf_aux_output_begin perf_aux_output_end perf_aux_output_flag perf_event_addr_filters_sync perf_event_create_kernel_counter perf_event_disable perf_event_enable perf_event_read_local perf_event_read_value perf_event_release_kernel perf_get_aux perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit phy_attached_info phy_calibrate phy_drivers_register phy_drivers_unregister phy_error phy_ethtool_get_wol phy_ethtool_set_wol phy_exit phy_init phy_init_eee phy_init_hw phylink_connect_phy phylink_create phylink_destroy phylink_disconnect_phy phylink_ethtool_get_eee phylink_ethtool_get_pauseparam phylink_ethtool_get_wol phylink_ethtool_ksettings_get phylink_ethtool_ksettings_set phylink_ethtool_nway_reset phylink_ethtool_set_eee phylink_ethtool_set_pauseparam phylink_ethtool_set_wol phylink_get_eee_err phylink_mac_change phylink_mii_ioctl phylink_of_phy_connect phylink_resume phylink_set_pcs phylink_set_port_modes phylink_speed_down phylink_speed_up phylink_start phylink_stop phylink_suspend phy_mac_interrupt phy_modify phy_modify_mmd phy_power_off phy_power_on phy_read_mmd phy_set_mode_ext phy_trigger_machine phy_write_mmd pick_highest_pushable_task pick_migrate_task pid_nr_ns pinconf_generic_dt_node_to_map pinctrl_dev_get_drvdata pinctrl_force_default pinctrl_force_sleep pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_select_state pinctrl_utils_free_map platform_bus_type platform_device_add platform_device_alloc platform_device_del platform_device_put platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_resource platform_get_resource_byname platform_irqchip_probe platform_irq_count platform_msi_domain_alloc_irqs platform_msi_domain_free_irqs pm_clk_add pm_clk_create pm_clk_destroy pm_clk_resume pm_clk_suspend pm_generic_resume pm_generic_suspend pm_genpd_add_subdomain pm_genpd_init pm_genpd_remove pm_genpd_remove_subdomain pm_power_off __pm_relax pm_relax pm_runtime_allow pm_runtime_autosuspend_expiration pm_runtime_barrier __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle pm_runtime_irq_safe pm_runtime_no_callbacks __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_suspend_global_flags pm_suspend_target_state pm_system_wakeup pm_wakeup_dev_event pm_wakeup_ws_event policy_has_boost_freq poll_state_synchronize_rcu poll_state_synchronize_srcu powercap_register_control_type powercap_register_zone powercap_unregister_control_type powercap_unregister_zone power_supply_changed power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_put power_supply_reg_notifier power_supply_set_property power_supply_unreg_notifier prandom_u32 preempt_schedule preempt_schedule_notrace prepare_to_wait_event print_hex_dump _printk _printk_deferred proc_dointvec proc_dointvec_minmax proc_dostring proc_douintvec_minmax proto_register proto_unregister __pskb_pull_tail ___pskb_trim ptp_clock_event ptp_clock_index ptp_clock_register ptp_clock_unregister putback_movable_pages put_device put_disk put_iova_domain __put_page put_sg_io_hdr __put_task_struct put_user_ifreq pwm_apply_state pwmchip_add pwmchip_remove qcom_smem_state_get qcom_smem_state_put qcom_smem_state_register qcom_smem_state_unregister qcom_smem_state_update_bits queue_delayed_work_on queue_work_on radix_tree_insert radix_tree_iter_delete radix_tree_lookup radix_tree_next_chunk ___ratelimit rational_best_approximation raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_lock_irq _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irq _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave raw_spin_rq_lock_nested raw_spin_rq_unlock _raw_spin_trylock _raw_spin_trylock_bh _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irq _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irq _raw_write_unlock_irqrestore rb_erase rb_first rb_insert_color rb_last rb_next rcu_barrier rcu_barrier_tasks rcu_barrier_tasks_trace rcu_bind_current_to_nocb rcu_check_boost_fail rcu_cpu_stall_suppress rcu_cpu_stall_suppress_at_boot rcu_expedite_gp rcu_force_quiescent_state rcu_fwd_progress_check rcu_get_gp_kthreads_prio rcu_get_gp_seq rcu_gp_is_expedited rcu_gp_is_normal rcu_gp_set_torture_wait rcu_inkernel_boot_has_ended rcu_is_watching rcu_jiffies_till_stall_check rcu_nocb_cpu_deoffload rcu_nocb_cpu_offload __rcu_read_lock __rcu_read_unlock rcu_read_unlock_trace_special rcutorture_get_gp_data rcu_unexpedite_gp rcuwait_wake_up rdev_get_drvdata reboot_mode rebuild_sched_domains reclaim_shmem_address_space refcount_dec_and_lock refcount_dec_not_one refcount_warn_saturate __register_blkdev __register_chrdev register_chrdev_region register_console register_die_notifier register_ftrace_export register_kprobe register_kretprobe register_memory_notifier register_module_notifier register_netevent_notifier register_oom_notifier register_pm_notifier register_qdisc register_reboot_notifier register_restart_handler __register_rpmsg_driver register_shrinker register_syscore_ops register_sysctl_table regmap_bulk_read regmap_bulk_write regmap_check_range_table regmap_field_read regmap_field_update_bits_base __regmap_init regmap_mmio_detach_clk regmap_read regmap_update_bits_base regmap_write regulator_allow_bypass regulator_bulk_disable regulator_count_voltages regulator_disable regulator_enable regulator_force_disable regulator_get regulator_get_drvdata regulator_get_mode regulator_get_voltage regulator_get_voltage_rdev regulator_is_enabled regulator_is_supported_voltage regulator_put regulator_register_notifier regulator_set_current_limit regulator_set_load regulator_set_mode regulator_set_voltage regulator_unregister_notifier release_firmware __release_region release_sock remap_pfn_range remove_cpu remove_memory_subsection remove_wait_queue report_iommu_fault request_any_context_irq request_firmware request_firmware_into_buf __request_module __request_percpu_irq request_threaded_irq resched_curr reset_control_assert reset_control_deassert __reset_control_get reset_control_put root_task_group round_jiffies_relative rpmsg_chrdev_eptdev_create rpmsg_chrdev_eptdev_destroy rpmsg_class rpmsg_get_signals rpmsg_poll rpmsg_register_device rpmsg_send rpmsg_set_signals rpmsg_trysend rpmsg_unregister_device rproc_add rproc_add_subdev rproc_alloc rproc_boot rproc_coredump rproc_coredump_add_custom_segment rproc_coredump_add_segment rproc_coredump_cleanup rproc_coredump_set_elf_info rproc_coredump_using_sections rproc_da_to_va rproc_del rproc_del_carveout rproc_free rproc_get_by_child rproc_get_by_phandle rproc_mem_entry_free rproc_put rproc_remove_subdev rproc_report_crash rproc_shutdown rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rt_mutex_lock rt_mutex_unlock rtnl_trylock rtnl_unicast runqueues sched_clock sched_feat_keys sched_feat_names sched_setattr sched_set_fifo sched_set_fifo_low sched_set_normal sched_setscheduler sched_setscheduler_nocheck sched_show_task sched_trace_rd_span sched_uclamp_used schedule schedule_hrtimeout schedule_timeout schedule_timeout_interruptible schedule_timeout_uninterruptible scmi_driver_register scmi_driver_unregister scmi_protocol_register scmi_protocol_unregister scnprintf scsi_autopm_get_device scsi_autopm_put_device scsi_block_when_processing_errors scsi_cmd_allowed scsi_command_size_tbl scsi_device_get scsi_device_put scsi_ioctl scsi_ioctl_block_when_processing_errors __scsi_iterate_devices scsi_normalize_sense __scsi_print_sense scsi_register_interface sdev_prefix_printk __sdhci_add_host sdhci_add_host sdhci_cleanup_host sdhci_cqe_disable sdhci_cqe_enable sdhci_cqe_irq sdhci_dumpregs sdhci_enable_clk sdhci_get_property sdhci_pltfm_free sdhci_pltfm_init sdhci_remove_host sdhci_reset sdhci_set_bus_width sdhci_set_power_noreg __sdhci_set_timeout sdhci_setup_host select_fallback_rq seq_buf_printf seq_lseek seq_printf seq_putc seq_puts seq_read seq_release seq_vprintf set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_next_entity set_normalized_timespec64 __SetPageMovable set_task_cpu set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_copy_from_buffer sg_copy_to_buffer sg_free_table sg_init_table sg_miter_next sg_miter_skip sg_miter_start sg_miter_stop sg_next __sg_page_iter_dma_next __sg_page_iter_next __sg_page_iter_start shmem_read_mapping_page_gfp show_rcu_gp_kthreads show_rcu_tasks_classic_gp_kthread show_rcu_tasks_trace_gp_kthread show_regs si_mem_available si_meminfo simple_attr_open simple_attr_release simple_open simple_read_from_buffer simple_write_to_buffer single_open single_release si_swapinfo sk_alloc skb_append_pagefrags skb_clone skb_copy_bits skb_copy_datagram_from_iter skb_copy_datagram_iter skb_dequeue skb_free_datagram __skb_get_hash __skb_gso_segment __skb_pad skb_push skb_put skb_queue_purge skb_queue_tail skb_recv_datagram skb_set_owner_w skb_store_bits sk_error_report sk_free skip_spaces smp_call_function smp_call_function_single smp_call_function_single_async snd_info_create_module_entry snd_info_free_entry snd_info_register snd_interval_refine _snd_pcm_hw_params_any snd_soc_component_exit_regmap snd_soc_dapm_new_widgets snd_soc_get_pcm_runtime snd_soc_info_multi_ext snd_soc_lookup_component snd_soc_rtdcom_lookup snd_timer_interrupt snd_usb_autoresume snd_usb_autosuspend snd_usb_endpoint_close snd_usb_endpoint_configure snd_usb_endpoint_open snprintf soc_device_register soc_device_unregister sock_alloc_send_pskb sock_alloc_send_skb sock_create_kern sock_edemux sock_gettstamp sock_init_data sock_no_accept sock_no_listen sock_no_mmap sock_no_sendpage sock_no_shutdown sock_no_socketpair sock_queue_rcv_skb sock_register sock_release sock_setsockopt sock_unregister sort __spi_alloc_controller spi_register_controller spi_unregister_controller spmi_controller_add spmi_controller_alloc spmi_controller_remove __spmi_driver_register spmi_ext_register_read spmi_ext_register_readl spmi_ext_register_write spmi_ext_register_writel spmi_register_read spmi_register_write spmi_register_zero_write sprintf srcu_barrier srcu_batches_completed srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister __srcu_read_lock __srcu_read_unlock srcutorture_get_gp_data srcu_torture_stats_print sscanf __stack_chk_fail stack_depot_fetch stack_depot_save stack_trace_print stack_trace_save start_poll_synchronize_rcu start_poll_synchronize_srcu static_key_disable stop_machine stop_one_cpu stop_one_cpu_nowait strchrnul strcmp strcpy strim strlcat strlcpy strlen strncasecmp strnchr strncmp strncpy strncpy_from_user strnlen strnstr strpbrk strrchr strreplace strscpy strsep __sw_hweight32 __sw_hweight64 __sw_hweight8 synchronize_irq synchronize_rcu synchronize_rcu_expedited synchronize_rcu_tasks synchronize_rcu_tasks_trace synchronize_srcu synchronize_srcu_expedited synth_event_create synth_event_delete syscon_node_to_regmap syscon_regmap_lookup_by_phandle sysctl_sched_features sysctl_vals sysfs_add_file_to_group sysfs_add_link_to_group sysfs_create_bin_file sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_link sysfs_emit sysfs_emit_at __sysfs_match_string sysfs_notify sysfs_remove_bin_file sysfs_remove_file_from_group sysfs_remove_file_ns sysfs_remove_files sysfs_remove_group sysfs_remove_link sysfs_remove_link_from_group sysfs_streq sysrq_mask system_32bit_el0_cpumask system_freezable_power_efficient_wq system_freezable_wq system_highpri_wq system_state system_unbound_wq system_wq task_active_pid_ns __tasklet_hi_schedule tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait tasklist_lock task_may_not_preempt __task_pid_nr_ns __task_rq_lock tcp_hashinfo thermal_cooling_device_register thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_pressure thermal_zone_device_enable thermal_zone_device_register thermal_zone_device_unregister thermal_zone_device_update thermal_zone_of_sensor_register thermal_zone_of_sensor_unregister tick_nohz_get_sleep_length time64_to_tm timer_unstable_counter_workaround topology_clear_scale_freq_source topology_set_thermal_pressure topology_update_done _totalram_pages trace_array_put trace_clock_local trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_get_event_file trace_handle_return __traceiter_android_vh_ufs_clock_scaling __traceiter_map __traceiter_unmap __tracepoint_android_rvh_account_irq_end __tracepoint_android_rvh_account_irq_start __tracepoint_android_rvh_after_dequeue_task __tracepoint_android_rvh_after_enqueue_task __tracepoint_android_rvh_audio_usb_offload_disconnect __tracepoint_android_rvh_build_perf_domains __tracepoint_android_rvh_can_migrate_task __tracepoint_android_rvh_check_preempt_wakeup __tracepoint_android_rvh_cpu_cgroup_attach __tracepoint_android_rvh_cpu_cgroup_online __tracepoint_android_rvh_do_sched_yield __tracepoint_android_rvh_find_busiest_queue __tracepoint_android_rvh_find_lowest_rq __tracepoint_android_rvh_flush_task __tracepoint_android_rvh_get_nohz_timer_target __tracepoint_android_rvh_gic_v3_set_affinity __tracepoint_android_rvh_iommu_setup_dma_ops __tracepoint_android_rvh_is_cpu_allowed __tracepoint_android_rvh_migrate_queued_task __tracepoint_android_rvh_mmc_cache_card_properties __tracepoint_android_rvh_new_task_stats __tracepoint_android_rvh_partial_init __tracepoint_android_rvh_replace_next_task_fair __tracepoint_android_rvh_rto_next_cpu __tracepoint_android_rvh_sched_cpu_dying __tracepoint_android_rvh_sched_cpu_starting __tracepoint_android_rvh_sched_exec __tracepoint_android_rvh_sched_fork_init __tracepoint_android_rvh_sched_getaffinity __tracepoint_android_rvh_sched_newidle_balance __tracepoint_android_rvh_sched_nohz_balancer_kick __tracepoint_android_rvh_sched_setaffinity __tracepoint_android_rvh_schedule __tracepoint_android_rvh_select_task_rq_fair __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_set_balance_anon_file_reclaim __tracepoint_android_rvh_set_cpus_allowed_by_task __tracepoint_android_rvh_set_cpus_allowed_ptr_locked __tracepoint_android_rvh_set_gfp_zone_flags __tracepoint_android_rvh_set_readahead_gfp_mask __tracepoint_android_rvh_set_skip_swapcache_flags __tracepoint_android_rvh_set_task_cpu __tracepoint_android_rvh_show_max_freq __tracepoint_android_rvh_tick_entry __tracepoint_android_rvh_try_to_wake_up __tracepoint_android_rvh_try_to_wake_up_success __tracepoint_android_rvh_ttwu_cond __tracepoint_android_rvh_update_cpu_capacity __tracepoint_android_rvh_update_cpus_allowed __tracepoint_android_rvh_update_misfit_status __tracepoint_android_rvh_update_readahead_gfp_mask __tracepoint_android_rvh_update_thermal_stats __tracepoint_android_rvh_wake_up_new_task __tracepoint_android_vh_audio_usb_offload_connect __tracepoint_android_vh_binder_restore_priority __tracepoint_android_vh_binder_set_priority __tracepoint_android_vh_binder_wakeup_ilocked __tracepoint_android_vh_check_hibernation_swap __tracepoint_android_vh_check_uninterruptible_tasks __tracepoint_android_vh_check_uninterruptible_tasks_dn __tracepoint_android_vh_cpu_idle_enter __tracepoint_android_vh_cpu_idle_exit __tracepoint_android_vh_cpuidle_psci_enter __tracepoint_android_vh_cpuidle_psci_exit __tracepoint_android_vh_disable_thermal_cooling_stats __tracepoint_android_vh_do_wake_up_sync __tracepoint_android_vh_ftrace_dump_buffer __tracepoint_android_vh_ftrace_format_check __tracepoint_android_vh_ftrace_oops_enter __tracepoint_android_vh_ftrace_oops_exit __tracepoint_android_vh_ftrace_size_check __tracepoint_android_vh_gic_resume __tracepoint_android_vh_gic_v2_resume __tracepoint_android_vh_handle_tlb_conf __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_jiffies_update __tracepoint_android_vh_kswapd_per_node __tracepoint_android_vh_logbuf __tracepoint_android_vh_logbuf_pr_cont __tracepoint_android_vh_mmc_sdio_pm_flag_set __tracepoint_android_vh_mmc_sd_update_cmdline_timing __tracepoint_android_vh_printk_hotplug __tracepoint_android_vh_rproc_recovery __tracepoint_android_vh_rproc_recovery_set __tracepoint_android_vh_save_cpu_resume __tracepoint_android_vh_save_hib_resume_bdev __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_show_resume_epoch_val __tracepoint_android_vh_show_suspend_epoch_val __tracepoint_android_vh_timer_calc_index __tracepoint_android_vh_ufs_check_int_errors __tracepoint_android_vh_ufs_clock_scaling __tracepoint_android_vh_ufs_compl_command __tracepoint_android_vh_ufs_send_command __tracepoint_android_vh_ufs_send_tm_command __tracepoint_android_vh_ufs_send_uic_command __tracepoint_android_vh_ufs_update_sdev __tracepoint_android_vh_update_topology_flags_workfn __tracepoint_binder_transaction_received __tracepoint_cpu_frequency_limits __tracepoint_cpu_idle __tracepoint_ipi_entry __tracepoint_ipi_raise __tracepoint_irq_handler_entry __tracepoint_map tracepoint_probe_register tracepoint_probe_register_prio tracepoint_probe_unregister __tracepoint_sched_overutilized_tp __tracepoint_sched_switch __tracepoint_suspend_resume __tracepoint_unmap trace_print_array_seq trace_raw_output_prep trace_seq_printf trace_seq_putc tracing_off try_module_get try_wait_for_completion tty_flip_buffer_push __tty_insert_flip_char tty_insert_flip_string_fixed_flag typec_get_drvdata typec_register_partner typec_register_port typec_set_data_role typec_set_pwr_opmode typec_set_pwr_role typec_unregister_partner uart_add_one_port uart_get_baud_rate uart_insert_char uart_register_driver uart_remove_one_port uart_resume_port uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort uclamp_eff_value ucsi_connector_change ucsi_create ucsi_destroy ucsi_get_drvdata ucsi_register ucsi_set_drvdata ucsi_unregister __udelay __udp4_lib_lookup __udp6_lib_lookup udp_table ufshcd_auto_hibern8_update ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_fixup_dev_quirks ufshcd_get_local_unipro_ver ufshcd_hba_stop ufshcd_hold ufshcd_pltfrm_init ufshcd_pltfrm_shutdown ufshcd_query_attr ufshcd_query_descriptor_retry ufshcd_query_flag ufshcd_release ufshcd_remove ufshcd_resume_complete ufshcd_runtime_resume ufshcd_runtime_suspend ufshcd_suspend_prepare ufshcd_system_resume ufshcd_system_suspend ufshcd_uic_hibern8_enter ufshcd_uic_hibern8_exit __uio_register_device uio_unregister_device unlock_page unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_console unregister_die_notifier unregister_ftrace_export unregister_kprobe unregister_kretprobe unregister_netevent_notifier unregister_oom_notifier unregister_pm_notifier unregister_qdisc unregister_reboot_notifier unregister_restart_handler unregister_rpmsg_driver unregister_shrinker unregister_sysctl_table update_rq_clock up_read up_write usb_add_phy_dev usb_alloc_coherent usb_assign_descriptors usb_bus_idr usb_bus_idr_lock usb_composite_setup_continue usb_control_msg_recv usb_control_msg_send usb_debug_root usb_decode_ctrl usb_ep_alloc_request usb_ep_autoconfig usb_ep_dequeue usb_ep_disable usb_ep_enable usb_ep_free_request usb_ep_queue usb_ep_set_halt usb_free_all_descriptors usb_free_coherent usb_function_register usb_function_unregister usb_gadget_wakeup usb_ifnum_to_if usb_interface_id usb_mon_deregister usb_mon_register usb_phy_set_charger_current usb_put_function_instance usb_register_notify usb_remove_phy usb_role_string usb_role_switch_find_by_fwnode usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_set_role usb_role_switch_unregister usb_speed_string usb_string_id usb_unregister_notify __usecs_to_jiffies usleep_range_state uuid_parse v4l2_compat_ioctl32 v4l2_ctrl_find v4l2_ctrl_get_name __v4l2_ctrl_modify_range __v4l2_ctrl_s_ctrl __v4l2_device_register_subdev_nodes v4l2_event_dequeue v4l2_event_pending v4l2_fh_release v4l2_s_ctrl v4l2_subdev_call_wrappers vabits_actual vb2_create_bufs vb2_prepare_buf vchan_dma_desc_free_list vchan_find_desc vchan_init vchan_tx_desc_free vchan_tx_submit vfree vhost_add_used_and_signal vhost_dev_check_owner vhost_dev_cleanup vhost_dev_init vhost_dev_ioctl vhost_dev_stop vhost_disable_notify vhost_enable_notify vhost_get_vq_desc vhost_log_access_ok vhost_poll_flush vhost_vq_access_ok vhost_vq_init_access vhost_vring_ioctl vmalloc vmalloc_nr_pages vmalloc_to_page vmalloc_to_pfn vmap vmf_insert_pfn vm_insert_page vm_iomap_memory vm_map_pages vm_mmap vm_munmap vm_node_stat vm_zone_stat vscnprintf vsnprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_io_timeout wait_for_completion_timeout __wait_rcu_gp __wake_up wake_up_if_idle wake_up_process wakeup_source_register wakeup_source_unregister __warn_printk work_busy ww_mutex_lock ww_mutex_unlock __xa_alloc __xa_alloc_cyclic xa_destroy xa_erase xa_find xa_find_after __xa_insert xa_load xa_store xdp_rxq_info_is_reg xdp_rxq_info_unreg_mem_model xfrm_lookup xhci_alloc_command xhci_alloc_erst xhci_free_command xhci_free_erst xhci_get_endpoint_index xhci_queue_stop_endpoint xhci_ring_alloc xhci_ring_cmd_db xhci_ring_free xhci_trb_virt_to_dma xp_alloc xp_dma_map xp_dma_sync_for_cpu_slow xp_dma_sync_for_device_slow xp_dma_unmap xp_free xp_raw_get_dma xp_set_rxq_info xsk_clear_rx_need_wakeup xsk_get_pool_from_qid xsk_set_rx_need_wakeup xsk_set_tx_need_wakeup xsk_tx_completed xsk_tx_peek_desc xsk_tx_release xsk_uses_need_wakeup [abi_symbol_list] add_timer add_uevent_var add_wait_queue alloc_anon_inode alloc_chrdev_region alloc_contig_range alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages alloc_pages_exact __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_workqueue android_rvh_probe_register anon_inode_getfd arc4_crypt arc4_setkey __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_hvc __arm_smccc_smc atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function bcmp bio_endio bio_end_io_acct_remapped bio_start_io_acct bitmap_allocate_region __bitmap_clear bitmap_find_next_zero_area_off bitmap_release_region __bitmap_set __blk_alloc_disk blk_cleanup_disk blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run10 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bus_for_each_dev bus_register bus_register_notifier bus_unregister bus_unregister_notifier call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag __check_object_size __class_create class_create_file_ns class_destroy class_find_device __class_register class_remove_file_ns class_unregister clear_page __ClearPageMovable clk_disable clk_enable clk_prepare clk_put clk_unprepare cma_for_each_area cma_get_name compat_ptr_ioctl complete complete_all complete_and_exit completion_done console_lock console_printk console_suspend_enabled console_unlock __const_udelay consume_skb contig_page_data cpufreq_boost_enabled cpufreq_cooling_register cpufreq_cooling_unregister cpufreq_cpu_get cpufreq_cpu_get_raw cpufreq_enable_boost_support cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_transition_begin cpufreq_freq_transition_end cpufreq_frequency_table_verify cpufreq_register_driver cpufreq_register_notifier cpufreq_table_index_unsorted __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpumask_next cpumask_next_and cpu_number __cpu_online_mask __cpu_possible_mask __cpu_present_mask cpus_read_lock cpus_read_unlock crc32_be crc32_le crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_base crypto_alloc_shash crypto_alloc_skcipher crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg __crypto_memneq crypto_register_alg crypto_shash_digest crypto_shash_final crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey __crypto_xor _ctype debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_regset32 debugfs_create_u32 debugfs_remove debugfs_rename dec_zone_page_state default_llseek default_wake_function delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue dev_alloc_name __dev_change_net_namespace dev_close dev_driver_string _dev_err dev_fetch_sw_netstats dev_fwnode __dev_get_by_index dev_get_by_index device_add device_add_disk device_create device_create_file device_del device_destroy device_for_each_child device_initialize device_init_wakeup device_pm_wait_for_dev device_property_present device_property_read_string device_property_read_u32_array device_register device_remove_file device_rename device_set_wakeup_capable device_unregister device_wakeup_enable _dev_info __dev_kfree_skb_any devm_add_action devm_clk_get_optional devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_dev_unregister devm_hwrng_register devm_ioremap_resource devm_iounmap devm_kmalloc devm_of_phy_get_by_index devm_phy_get devm_platform_get_and_ioremap_resource devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_request_threaded_irq __devm_reset_control_get devm_rtc_allocate_device __devm_rtc_register_device devm_usb_get_phy _dev_notice dev_pm_opp_find_freq_exact dev_pm_opp_free_cpufreq_table dev_pm_opp_get_level dev_pm_opp_get_opp_count dev_pm_opp_init_cpufreq_table dev_pm_opp_of_cpumask_add_table dev_pm_opp_of_get_sharing_cpus dev_pm_opp_of_register_em dev_pm_opp_put _dev_printk dev_queue_xmit dev_set_name _dev_warn disable_irq_nosync disk_end_io_acct disk_start_io_acct dma_alloc_attrs dma_buf_begin_cpu_access dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_put dma_buf_vmap dma_buf_vunmap dma_contiguous_default_area dma_fence_default_wait dma_fence_init dma_fence_signal dma_free_attrs dma_heap_add dma_heap_buffer_alloc dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_put dmam_alloc_attrs dma_map_page_attrs dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs do_exit do_SAK do_trace_netlink_extack down down_interruptible down_read down_timeout down_trylock down_write d_path driver_register driver_unregister dump_stack ehci_init_driver ehci_resume ehci_setup ehci_suspend enable_irq ether_setup eth_mac_addr ethtool_op_get_link ethtool_op_get_ts_info eth_type_trans eth_validate_addr event_triggers_call extcon_set_state_sync fb_get_options fb_mode_option __fdget fd_install filp_close _find_first_bit _find_next_bit find_pid_ns __find_vma find_vpid finish_wait flush_dcache_page flush_delayed_fput flush_delayed_work flush_work flush_workqueue follow_pfn fput free_contig_range free_irq free_netdev __free_pages free_pages free_pages_exact free_percpu freezing_slow_path freq_qos_update_request fsync_bdev gcd genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_for_each_chunk gen_pool_free_owner gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys get_cpu_device __get_free_pages get_kernel_pages get_net_ns_by_fd get_net_ns_by_pid get_pid_task get_random_bytes get_random_u32 get_unused_fd_flags gic_nonsecure_priorities gpiochip_add_data_with_key gpiochip_remove gpiod_direction_output_raw gpiod_get_direction gpio_to_desc handle_sysrq hex_dump_to_buffer hex_to_bin high_memory hrtimer_cancel hrtimer_init hrtimer_init_sleeper hrtimer_start_range_ns hrtimer_try_to_cancel __hw_addr_init __hw_addr_sync __hw_addr_unsync i2c_adapter_type i2c_add_adapter i2c_bus_type i2c_del_adapter i2c_for_each_dev i2c_get_adapter i2c_put_adapter i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_verify_client idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_remove ignore_console_lock_warning inc_zone_page_state in_egroup_p inet_csk_get_port init_net init_pid_ns init_pseudo __init_rwsem __init_swait_queue_head init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_event input_free_device input_register_device input_unregister_device iomem_resource ioport_resource __ioremap iounmap iput irq_get_irq_data irq_of_parse_and_map irq_to_desc is_console_locked is_vmalloc_addr jiffies jiffies_64 jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kernel_cpustat kernel_param_lock kernel_param_unlock kernel_power_off kern_mount kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_init __kfifo_out __kfifo_to_user kfree kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kimage_vaddr kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kmsg_dump_get_line kmsg_dump_rewind kobject_create_and_add kobject_put kobject_uevent_env kstrdup kstrtoint kstrtoll kstrtou16 kstrtou8 kstrtouint kstrtoull kstrtoull_from_user kthread_create_on_node kthread_freezable_should_stop kthread_park kthread_should_stop kthread_stop kthread_unpark ktime_get ktime_get_coarse_real_ts64 ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_real_ts64 ktime_get_seconds ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node __list_add_valid __list_del_entry_valid __local_bh_enable_ip __lock_page memblock_end_of_DRAM memchr memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memparse memremap mem_section memset memset64 __memset_io memstart_addr memunmap mii_ethtool_gset misc_deregister misc_register mktime64 __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking mmc_add_host mmc_alloc_host __mmc_claim_host mmc_free_host mmc_get_ext_csd mmc_release_host mmc_remove_host mmc_request_done mmc_set_data_timeout mmc_switch mmc_wait_for_req mod_delayed_work_on mod_timer module_layout module_put mpi_alloc mpi_cmp mpi_cmp_ui mpi_free mpi_get_buffer mpi_get_nbits mpi_powm mpi_read_raw_data __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_unlock name_to_dev_t napi_complete_done napi_disable napi_enable napi_gro_receive __napi_schedule napi_schedule_prep __netdev_alloc_skb netdev_err netdev_info netdev_set_default_ethtool_ops netdev_update_features netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add __netif_napi_del netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations net_ratelimit nla_find nla_memcpy __nla_parse nla_put nla_put_64bit nla_reserve __nla_validate no_llseek nonseekable_open nr_cpu_ids nr_irqs ns_to_kernel_old_timeval __num_online_cpus of_address_to_resource of_clk_get of_count_phandle_with_args of_device_is_available of_device_is_compatible of_find_compatible_node of_find_node_by_name of_find_node_opts_by_path of_find_property of_fwnode_ops __of_get_address of_get_child_by_name of_get_named_gpio_flags of_get_next_child of_get_property of_iomap of_irq_get_byname of_match_device of_match_node of_parse_phandle of_platform_depopulate of_platform_populate of_property_count_elems_of_size of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_variable_u32_array of_property_read_variable_u64_array of_prop_next_u32 oops_in_progress page_endio page_mapping panic panic_notifier_list panic_timeout param_array_ops param_get_int param_ops_bool param_ops_charp param_ops_int param_ops_uint param_ops_ulong pci_bus_type __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_exit phy_init phy_power_off phy_power_on pid_task pin_user_pages_fast platform_bus_type platform_device_add platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_get_irq platform_get_resource pm_power_off __pm_relax __pm_runtime_disable pm_runtime_enable pm_runtime_forbid __pm_runtime_idle __pm_runtime_resume __pm_runtime_set_status __pm_runtime_suspend pm_schedule_suspend __pm_stay_awake policy_has_boost_freq power_supply_changed power_supply_register power_supply_unregister prandom_bytes preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event print_hex_dump _printk proc_create proc_create_seq_private proc_dointvec proc_mkdir proc_remove __pskb_copy_fclone pskb_expand_head __pskb_pull_tail ___pskb_trim put_device __put_net __put_page __put_task_struct put_unused_fd pwmchip_add queue_delayed_work_on queue_work_on radix_tree_delete radix_tree_gang_lookup radix_tree_gang_lookup_tag radix_tree_insert radix_tree_lookup radix_tree_preload radix_tree_tag_clear radix_tree_tag_get radix_tree_tag_set ___ratelimit _raw_read_lock _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_irqrestore rb_erase rb_insert_color rb_next rb_prev rcu_barrier __rcu_read_lock __rcu_read_unlock refcount_warn_saturate __refrigerator __register_blkdev __register_chrdev register_chrdev_region register_console register_die_notifier register_inet6addr_notifier register_inetaddr_notifier register_kprobe register_kretprobe register_netdev register_netdevice register_netdevice_notifier register_pernet_device register_pm_notifier register_reboot_notifier register_restart_handler register_shrinker register_syscore_ops register_sysctl_table regulator_bulk_disable regulator_bulk_enable regulator_disable regulator_enable release_firmware __release_region release_resource remap_pfn_range remove_proc_entry remove_wait_queue request_firmware request_firmware_nowait __request_module __request_region request_threaded_irq reset_control_assert reset_control_deassert rfkill_alloc rfkill_blocked rfkill_destroy rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert round_jiffies round_jiffies_relative round_jiffies_up rtc_time64_to_tm rtnl_is_locked rtnl_lock rtnl_unlock sched_clock sched_setscheduler sched_setscheduler_nocheck schedule schedule_timeout scnprintf send_sig_info send_sig_mceerr seq_lseek seq_printf seq_puts seq_read set_capacity set_capacity_and_notify set_freezable __SetPageMovable sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_next __sg_page_iter_next __sg_page_iter_start simple_strtol simple_strtoul simple_strtoull single_open single_release skb_add_rx_frag skb_checksum_help skb_clone skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_ensure_writable __skb_get_hash __skb_gso_segment skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_trim skb_tstamp_tx smpboot_register_percpu_thread smpboot_unregister_percpu_thread smp_call_function snd_card_free snd_card_new snd_card_register snd_ctl_add snd_ctl_new1 snd_hwdep_new snd_pcm_format_physical_width snd_pcm_hw_constraint_minmax snd_pcm_lib_free_pages snd_pcm_lib_ioctl snd_pcm_lib_malloc_pages snd_pcm_new snd_pcm_period_elapsed snd_pcm_set_ops snprintf __sock_create sock_release sort sprintf sscanf __stack_chk_fail stpcpy strcasecmp strcat strchr strcmp strcpy strlcpy strlen strncasecmp strncat strncmp strncpy strncpy_from_user strnlen strrchr strsep strstr __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create synchronize_irq synchronize_net synchronize_rcu sysfs_create_group sysfs_create_link sysfs_emit __sysfs_match_string sysfs_notify sysfs_remove_group sysfs_remove_link sysfs_streq sysrq_mask system_freezable_wq system_freezing_cnt system_power_efficient_wq system_state system_wq sys_tz task_active_pid_ns tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait thermal_zone_device_register thermal_zone_device_unregister thermal_zone_device_update thermal_zone_unbind_cooling_device touch_softlockup_watchdog trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_gic_v3_set_affinity __traceiter_android_vh_dmabuf_heap_flags_validation __traceiter_android_vh_logbuf __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __tracepoint_android_rvh_gic_v3_set_affinity __tracepoint_android_vh_dmabuf_heap_flags_validation __tracepoint_android_vh_logbuf __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking tracepoint_probe_register trace_print_array_seq trace_raw_output_prep try_module_get tty_flip_buffer_push tty_termios_baud_rate tty_termios_encode_baud_rate uart_add_one_port uart_console_device uart_console_write uart_get_baud_rate uart_get_divisor uart_handle_cts_change uart_handle_dcd_change uart_insert_char uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort __udelay unlock_page unpin_user_pages unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_console unregister_die_notifier unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_kprobe unregister_kretprobe unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_pernet_device unregister_pm_notifier unregister_reboot_notifier unregister_shrinker unregister_sysctl_table up up_read up_write usb_add_gadget_udc usb_add_hcd usb_alloc_coherent usb_alloc_urb usb_anchor_urb usb_autopm_get_interface usb_autopm_put_interface usb_bulk_msg usb_control_msg __usb_create_hcd usb_create_hcd usb_debug_root usb_del_gadget_udc usb_deregister usb_disable_autosuspend usb_disabled usb_driver_claim_interface usb_driver_release_interface usb_ep_set_maxpacket_limit usb_free_coherent usb_free_urb usb_gadget_giveback_request usb_gadget_map_request usb_gadget_set_state usb_gadget_unmap_request usb_get_dr_mode usb_get_from_anchor usb_hcd_is_primary_hcd usb_hcd_platform_shutdown usb_ifnum_to_if usb_interrupt_msg usb_kill_anchored_urbs usb_kill_urb usb_phy_set_charger_current usb_put_hcd usb_register_driver usb_remove_hcd usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_unregister usb_scuttle_anchored_urbs usb_set_interface usb_speed_string usb_submit_urb usb_unanchor_urb __usecs_to_jiffies usleep_range_state uuid_null vabits_actual verify_pkcs7_signature vfree vmalloc vmalloc_to_page vmalloc_to_pfn vmap vm_get_page_prot vm_iomap_memory vm_mmap vm_munmap vprintk_emit vsnprintf vsprintf vunmap vzalloc vzalloc_node wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_timeout __wake_up wake_up_process wakeup_source_register wakeup_source_unregister __warn_printk wireless_nlevent_flush xhci_gen_setup xhci_init_driver xhci_resume xhci_run xhci_suspend zs_compact zs_create_pool zs_destroy_pool zs_free zs_get_total_pages zs_huge_class_size zs_malloc zs_map_object zs_pool_stats zs_unmap_object [abi_symbol_list] #required by codec module snd_soc_component_enable_pin snd_soc_component_get_pin_status [abi_symbol_list] # commonly used symbols access_process_vm activate_task add_cpu addrconf_prefix_rcv_add_addr add_timer add_to_page_cache_lru add_uevent_var add_wait_queue aes_encrypt aes_expandkey alloc_anon_inode alloc_chrdev_region alloc_io_pgtable_ops alloc_netdev_mqs __alloc_pages alloc_pages_exact __alloc_percpu __alloc_skb alloc_workqueue android_debug_per_cpu_symbol android_debug_symbol android_rvh_probe_register __arch_copy_from_user __arch_copy_to_user arch_freq_scale arch_timer_read_counter arm64_const_caps_ready arm64_use_ng_mappings arp_tbl atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister available_idle_cpu balance_push_callback bio_add_page bio_alloc_bioset bio_associate_blkg bio_endio bio_end_io_acct_remapped bio_put bio_start_io_acct bit_wait __blk_alloc_disk blk_cleanup_disk blkdev_get_by_dev blk_finish_plug blk_mq_complete_request __blk_mq_end_request blk_mq_requeue_request blk_mq_run_hw_queues blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_start_plug blk_update_request blockdev_superblock blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 __breadahead bus_register bus_set_iommu bus_unregister call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync cdev_add cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag __check_object_size check_preempt_curr __class_create class_destroy class_find_device clear_inode clear_nlink __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_unprepare clk_disable clk_enable clk_fixed_factor_ops clk_get_rate __clk_is_enabled clk_prepare clk_put clk_set_parent clk_set_rate clk_unprepare clockevents_config_and_register clocks_calc_mult_shift __clocksource_register_scale cma_alloc cma_for_each_area cma_get_name cma_release complete complete_all complete_and_exit config_ep_by_speed config_group_init_type_name console_lock console_printk console_unlock __const_udelay contig_page_data __cpu_active_mask cpufreq_add_update_util_hook cpufreq_cpu_get cpufreq_cpu_put cpufreq_quick_get_max cpufreq_remove_update_util_hook cpu_have_feature cpu_hotplug_disable cpu_hotplug_enable cpu_hwcap_keys cpu_hwcaps cpu_latency_qos_add_request cpu_latency_qos_remove_request cpu_latency_qos_update_request cpumask_next cpumask_next_and cpu_number __cpu_online_mask __cpu_possible_mask __cpu_present_mask cpupri_find_fitness cpu_scale crypto_ahash_digest crypto_ahash_setkey crypto_alloc_ahash crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg crypto_inc __crypto_memneq crypto_register_aead crypto_register_shash crypto_register_shashes crypto_unregister_aead crypto_unregister_shash crypto_unregister_shashes __crypto_xor css_next_child csum_ipv6_magic csum_partial deactivate_task debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_regset32 debugfs_create_symlink debugfs_create_u32 debugfs_create_x32 debugfs_initialized debugfs_lookup debugfs_remove debugfs_rename dec_zone_page_state default_llseek delayed_work_timer_fn del_timer del_timer_sync destroy_workqueue __dev_change_net_namespace dev_close dev_driver_string _dev_err dev_err_probe dev_fwnode dev_get_by_name dev_get_regmap device_add device_create device_create_file device_create_managed_software_node device_destroy device_get_dma_attr device_initialize device_init_wakeup device_link_add device_link_del device_match_fwnode device_match_name device_node_to_regmap device_property_present device_property_read_string device_property_read_u32_array device_property_read_u8_array device_register device_remove_file device_set_wakeup_capable device_unregister _dev_info __dev_kfree_skb_any devm_add_action devm_backlight_device_register devm_clk_bulk_get devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_free_irq devm_gpiochip_add_data_with_key devm_gpiod_get devm_gpio_free devm_gpio_request devm_hwrng_register devm_hwspin_lock_register devm_hwspin_lock_request_specific __devm_iio_device_register devm_ioremap_resource devm_kfree devm_kmalloc devm_kmemdup devm_mfd_add_devices devm_nvmem_register devm_of_platform_populate devm_phy_get devm_pinctrl_get devm_pinctrl_put devm_platform_get_and_ioremap_resource devm_pm_opp_of_add_table devm_power_supply_register __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get devm_regulator_register devm_request_threaded_irq __devm_reset_control_get __devm_rtc_register_device devm_spi_register_controller devm_usb_get_phy devm_usb_get_phy_by_phandle dev_open dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_opp_set_rate _dev_printk dev_queue_xmit __devres_alloc_node dev_set_name _dev_warn disable_irq disable_irq_nosync disk_end_io_acct disk_start_io_acct dma_alloc_attrs dma_fence_release dma_fence_signal_locked dma_fence_wait_timeout dma_free_attrs dma_heap_add dma_heap_get_dev dma_heap_get_name d_make_root dma_map_page_attrs dma_map_sgtable dma_max_mapping_size dma_resv_add_excl_fence dma_resv_wait_timeout dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_sg_attrs do_trace_netlink_extack double_rq_lock down dput driver_register driver_unregister __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_plane_destroy_state drm_atomic_helper_plane_duplicate_state drm_atomic_helper_plane_reset drm_atomic_helper_setup_commit drm_compat_ioctl __drm_dbg drm_dev_alloc drm_dev_printk drm_dev_put drm_dev_register __drm_err drm_gem_fence_array_add drm_gem_fence_array_add_implicit drm_gem_lock_reservations drm_gem_object_free drm_gem_object_lookup drm_gem_prime_mmap drm_gem_shmem_create drm_gem_shmem_free_object drm_gem_shmem_get_sg_table drm_gem_shmem_mmap drm_gem_shmem_pin drm_gem_shmem_prime_import_sg_table drm_gem_shmem_print_info drm_gem_shmem_unpin drm_gem_shmem_vmap drm_gem_shmem_vunmap drm_gem_unlock_reservations drm_ioctl drm_ioctl_kernel drm_mm_init drm_mm_insert_node_in_range drmm_mode_config_init drm_mm_remove_node drm_mm_takedown drm_mode_config_cleanup drm_open drm_plane_create_color_properties drm_poll drm_read drm_release drm_send_event_timestamp_locked drm_syncobj_find drm_syncobj_find_fence drm_syncobj_free drm_syncobj_replace_fence d_splice_alias dst_release dump_stack __dynamic_netdev_dbg emergency_restart enable_irq ether_setup eth_platform_get_mac_address eth_type_trans event_triggers_call extcon_find_edev_by_node extcon_get_edev_by_phandle extcon_get_extcon_dev extcon_get_state extcon_set_property extcon_set_property_capability extcon_set_state fb_mode_option _find_first_bit __find_get_block find_get_pid _find_next_bit find_task_by_vpid finish_wait flush_work flush_workqueue fpsimd_context_busy fput free_io_pgtable_ops free_irq free_netdev __free_pages free_pages_exact free_percpu freezing_slow_path freq_qos_add_request freq_qos_remove_request freq_qos_update_request fs_bio_set fs_ftype_to_dtype fsync_bdev fwnode_property_present fwnode_property_read_string gcd generic_file_llseek generic_handle_domain_irq generic_handle_irq generic_mii_ioctl generic_read_dir generic_ro_fops genlmsg_multicast_allns genl_register_family genl_unregister_family genphy_resume gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_fixed_alloc gen_pool_free_owner gen_pool_set_algo get_cpu_device __get_free_pages get_governor_parent_kobj get_net_ns_by_fd get_net_ns_by_pid get_pid_task get_random_bytes get_zeroed_page gic_nonsecure_priorities gov_attr_set_get gov_attr_set_init gov_attr_set_put governor_sysfs_ops gpiochip_get_data gpiochip_line_is_irq gpiod_direction_input gpiod_direction_output_raw gpiod_get gpiod_get_raw_value gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_to_chip gpiod_to_irq gpio_free gpio_request gpio_to_desc handle_bad_irq handle_edge_irq handle_level_irq have_governor_per_policy housekeeping_cpumask i2c_del_driver i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_read_word_data i2c_smbus_write_byte_data i2c_transfer_buffer_flags icmp6_send ida_alloc_range ida_destroy ida_free idr_alloc idr_destroy idr_find idr_for_each idr_remove iget5_locked iget_failed ignore_console_lock_warning igrab iio_device_id iio_get_channel_type inc_zone_page_state inet_csk_get_port inet_proto_csum_replace4 init_net init_pseudo __init_rwsem init_special_inode __init_swait_queue_head init_task init_timer_key init_user_ns init_uts_ns init_wait_entry __init_waitqueue_head inode_init_once inode_nohighmem input_alloc_absinfo input_allocate_device input_close_device input_event input_free_device input_mt_get_slot_by_key input_mt_sync_frame input_open_device input_register_device input_register_handle input_register_handler input_unregister_handle input_unregister_handler iommu_alloc_resv_region iommu_attach_device iommu_detach_device iommu_device_link iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unlink iommu_device_unregister iommu_dma_get_resv_regions iommu_domain_alloc iommu_domain_free iommu_fwspec_add_ids iommu_fwspec_free iommu_get_dma_cookie iommu_get_domain_for_dev iommu_group_alloc iommu_group_get iommu_group_get_iommudata iommu_group_put iommu_group_ref_get iommu_group_remove_device iommu_group_set_iommudata iommu_map iommu_map_sg iommu_present iommu_put_dma_cookie iommu_set_fault_handler iommu_unmap __ioremap ioremap_cache iounmap ip6_find_1stfragopt ip6t_alloc_initial_table ip6t_do_table ip6t_register_table ip_route_output_flow iput ipv4_redirect ipv4_update_pmtu ipv6_select_ident ipv6_skip_exthdr irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_irq_type irq_set_irq_wake irq_work_sync ir_raw_gen_manchester ir_raw_gen_pd ir_raw_gen_pl ir_raw_handler_register ir_raw_handler_unregister is_console_locked is_vmalloc_addr jiffies jiffies_to_msecs kasan_flag_enabled kasprintf kernel_kobj kernel_neon_begin kernel_neon_end kern_mount kern_unmount key_create_or_update __kfifo_alloc __kfifo_free __kfifo_in __kfifo_out kfree kfree_sensitive kfree_skb kill_anon_super kimage_vaddr kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kmsg_dump_get_buffer kmsg_dump_register kmsg_dump_rewind kmsg_dump_unregister kobject_create_and_add kobject_uevent_env ksoftirqd kstrndup kstrtobool kstrtoint kstrtoll kstrtou16 kstrtouint kstrtoull ksys_sync_helper kthread_cancel_work_sync kthread_create_on_node kthread_flush_worker kthread_should_stop kthread_stop ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_real_seconds ktime_get_real_ts64 kvfree_call_rcu __list_add_valid __list_del_entry_valid LZ4_decompress_safe_partial lzo1x_1_compress lzo1x_decompress_safe lzorle1x_1_compress mdiobus_alloc_size mdiobus_free __mdiobus_register mdiobus_unregister memcpy __memcpy_fromio memmove memory_read_from_buffer memparse mem_section memset64 memset migrate_swap mii_check_media mii_ethtool_get_link_ksettings mii_ethtool_gset mii_ethtool_set_link_ksettings mii_link_ok mii_nway_restart misc_deregister misc_register mod_node_page_state mod_timer module_put __msecs_to_jiffies msleep __mutex_init mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock nd_tbl neigh_destroy __netdev_alloc_skb netif_carrier_off netif_carrier_on __netif_napi_del netif_rx_ni netif_tx_wake_queue netlink_broadcast netlink_register_notifier netlink_unregister_notifier net_ns_type_operations nf_conntrack_destroy nf_conntrack_find_get nf_ct_destroy nf_ct_get_tuplepr nf_ct_invert_tuple nf_ct_l4proto_find nf_register_net_hooks nf_unregister_net_hooks nla_find nla_reserve nla_strscpy __nla_validate node_states noop_llseek nr_cpu_ids nr_irqs __num_online_cpus nvmem_cell_get nvmem_cell_put nvmem_cell_read of_address_to_resource of_alias_get_id of_clk_get of_clk_get_parent_count of_count_phandle_with_args of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure_id of_find_compatible_node of_find_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_property __of_get_address of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_property of_get_regulator_init_data of_graph_get_remote_node of_graph_is_present of_irq_get of_match_node of_nvmem_cell_get of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_platform_depopulate of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_u32_index of_property_read_u64 of_property_read_u64_index of_property_read_variable_u32_array of_prop_next_string of_prop_next_u32 of_usb_get_phy_mode oops_in_progress out_of_line_wait_on_bit_lock overflowgid overflowuid pagecache_get_page page_endio page_get_link page_mapping panic param_ops_charp param_ops_uint param_ops_ushort __pci_register_driver pci_set_master pci_unregister_driver pcpu_nr_pages PDE_DATA __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_connect phy_disconnect phy_ethtool_get_link_ksettings phy_ethtool_nway_reset phy_ethtool_set_link_ksettings phy_exit phy_init phy_mii_ioctl phy_pm_runtime_get_sync phy_pm_runtime_put_sync phy_power_off phy_power_on phy_print_status phy_set_mode_ext phy_start phy_stop pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_select_state platform_bus platform_bus_type platform_device_add platform_device_add_resources platform_device_alloc platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_resource platform_get_resource_byname pm_get_active_wakeup_sources pm_power_off __pm_relax pm_runtime_allow pm_runtime_barrier __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend pm_runtime_get_if_active __pm_runtime_idle __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_wakeup_ws_event posix_acl_access_xattr_handler posix_acl_default_xattr_handler posix_acl_from_xattr power_supply_changed power_supply_get_battery_info power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_powers power_supply_put power_supply_reg_notifier power_supply_unreg_notifier ppp_input ppp_register_compressor ppp_unregister_compressor preempt_schedule preempt_schedule_notrace prepare_to_wait_event _printk _printk_deferred proc_create proc_create_data proc_create_seq_private proc_create_single_data proc_dointvec_minmax proc_dostring proc_mkdir __pskb_copy_fclone pskb_expand_head put_device put_pages_list put_pid queue_delayed_work_on queue_work_on radix_tree_delete radix_tree_gang_lookup radix_tree_insert radix_tree_lookup radix_tree_preload ___ratelimit _raw_read_lock _raw_read_unlock _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave raw_spin_rq_lock_nested raw_spin_rq_unlock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_unlock rc_keydown rc_map_register rc_map_unregister rc_repeat __rcu_read_lock __rcu_read_unlock rdev_get_drvdata read_cache_page read_cache_page_gfp refcount_warn_saturate __refrigerator __register_blkdev __register_chrdev register_die_notifier register_filesystem register_pernet_subsys register_pm_notifier register_sysctl_table regmap_exit __regmap_init_i2c regmap_read regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_get_voltage_sel_regmap regulator_is_enabled_regmap regulator_list_voltage_linear regulator_map_voltage_linear regulator_set_voltage_sel_regmap release_firmware remap_pfn_range remove_cpu remove_proc_entry remove_proc_subtree remove_wait_queue __request_module request_threaded_irq reset_control_assert reset_control_deassert return_address rfkill_alloc rfkill_blocked rfkill_destroy rfkill_init_sw_state rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister root_task_group runqueues sched_clock sched_feat_keys sched_set_fifo_low sched_setscheduler sched_setscheduler_nocheck sched_uclamp_used schedule schedule_timeout schedule_timeout_interruptible scnprintf sdev_prefix_printk send_sig_info seq_buf_printf seq_lseek seq_printf seq_putc seq_puts seq_read set_capacity set_capacity_and_notify set_nlink set_normalized_timespec64 set_page_dirty __SetPageMovable set_task_cpu set_user_nice sg_alloc_table_from_pages_segment sg_init_table sg_miter_next sg_miter_start sg_miter_stop sg_nents sg_next shmem_read_mapping_page_gfp si_mem_available simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_get_link simple_read_from_buffer simple_strtol simple_write_to_buffer single_open single_release si_swapinfo skb_add_rx_frag skb_clone skb_copy skb_copy_expand skb_cow_data skb_ensure_writable __skb_ext_put skb_push skb_put skb_realloc_headroom skb_to_sgvec_nomark skb_trim skcipher_walk_aead_decrypt skcipher_walk_aead_encrypt skcipher_walk_done skcipher_walk_virt sk_free smp_call_function snd_info_create_card_entry snd_info_free_entry snd_info_get_line snd_info_register snd_pcm_hw_constraint_minmax snd_pcm_lib_ioctl snd_pcm_period_elapsed snd_soc_add_component_controls snd_soc_component_read snd_soc_daifmt_parse_clock_provider_raw snd_soc_daifmt_parse_format snd_soc_dapm_disable_pin snd_soc_dapm_enable_pin snd_soc_dapm_ignore_suspend snd_soc_dapm_kcontrol_dapm snd_soc_dapm_put_enum_double snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_info_enum_double snd_soc_info_volsw snd_soc_pm_ops snd_soc_register_component snd_soc_unregister_component snprintf __sock_create sock_release softnet_data __spi_alloc_controller spi_setup sprintf sscanf __stack_chk_fail stack_trace_save_tsk static_key_disable stop_machine stop_one_cpu stop_one_cpu_nowait strcasecmp strcat strchr strcmp strcpy strlcat strlcpy strlen strncasecmp strncmp strncpy strncpy_from_user strnlen strnstr strstr submit_bio __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_filesystem synchronize_irq synchronize_net syscon_regmap_lookup_by_phandle syscon_regmap_lookup_by_phandle_args sysctl_sched_features sysctl_vals sysfs_create_bin_file sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_groups sysfs_create_link __sysfs_match_string sysfs_notify sysfs_remove_bin_file sysfs_remove_file_ns sysfs_remove_files sysfs_remove_group sysfs_remove_groups sysfs_remove_link sysfs_streq system_freezable_wq system_freezing_cnt system_unbound_wq system_wq sys_tz tasklet_init tasklet_kill __tasklet_schedule tasklist_lock task_may_not_preempt thermal_pressure thermal_zone_device_disable thermal_zone_device_enable thermal_zone_get_zone_by_name tick_nohz_get_idle_calls_cpu timer_of_init topology_clear_scale_freq_source topology_set_thermal_pressure topology_update_done __trace_bprintk trace_define_field trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_account_irq __traceiter_android_rvh_account_irq_end __traceiter_android_rvh_account_irq_start __traceiter_android_rvh_after_dequeue_task __traceiter_android_rvh_after_enqueue_task __traceiter_android_rvh_build_perf_domains __traceiter_android_rvh_can_migrate_task __traceiter_android_rvh_check_preempt_wakeup __traceiter_android_rvh_cpu_cgroup_attach __traceiter_android_rvh_cpu_cgroup_can_attach __traceiter_android_rvh_cpu_cgroup_online __traceiter_android_rvh_cpu_overutilized __traceiter_android_rvh_dequeue_task __traceiter_android_rvh_effective_cpu_util __traceiter_android_rvh_enqueue_task __traceiter_android_rvh_find_busiest_group __traceiter_android_rvh_find_busiest_queue __traceiter_android_rvh_find_energy_efficient_cpu __traceiter_android_rvh_find_lowest_rq __traceiter_android_rvh_find_new_ilb __traceiter_android_rvh_finish_prio_fork __traceiter_android_rvh_is_cpu_allowed __traceiter_android_rvh_migrate_queued_task __traceiter_android_rvh_mmc_partition_status __traceiter_android_rvh_mmc_sd_cmdline_timing __traceiter_android_rvh_mmc_sd_dataline_timing __traceiter_android_rvh_new_task_stats __traceiter_android_rvh_prepare_prio_fork __traceiter_android_rvh_psci_cpu_suspend __traceiter_android_rvh_psci_tos_resident_on __traceiter_android_rvh_rtmutex_prepare_setprio __traceiter_android_rvh_sched_cpu_dying __traceiter_android_rvh_sched_cpu_starting __traceiter_android_rvh_sched_exec __traceiter_android_rvh_sched_fork_init __traceiter_android_rvh_sched_newidle_balance __traceiter_android_rvh_sched_nohz_balancer_kick __traceiter_android_rvh_schedule __traceiter_android_rvh_select_fallback_rq __traceiter_android_rvh_select_task_rq_dl __traceiter_android_rvh_select_task_rq_fair __traceiter_android_rvh_select_task_rq_rt __traceiter_android_rvh_setscheduler __traceiter_android_rvh_set_task_cpu __traceiter_android_rvh_set_user_nice __traceiter_android_rvh_tick_entry __traceiter_android_rvh_try_to_wake_up __traceiter_android_rvh_try_to_wake_up_success __traceiter_android_rvh_update_cpu_capacity __traceiter_android_rvh_update_misfit_status __traceiter_android_rvh_wake_up_new_task __traceiter_android_vh_arch_set_freq_scale __traceiter_android_vh_atomic_remove_fb __traceiter_android_vh_audio_usb_offload_connect __traceiter_android_vh_audio_usb_offload_ep_action __traceiter_android_vh_audio_usb_offload_suspend __traceiter_android_vh_audio_usb_offload_synctype __traceiter_android_vh_binder_restore_priority __traceiter_android_vh_binder_set_priority __traceiter_android_vh_binder_transaction_init __traceiter_android_vh_cpufreq_fast_switch __traceiter_android_vh_cpufreq_resolve_freq __traceiter_android_vh_cpufreq_target __traceiter_android_vh_drm_atomic_check_modeset __traceiter_android_vh_dump_throttled_rt_tasks __traceiter_android_vh_enable_thermal_power_throttle __traceiter_android_vh_get_thermal_zone_device __traceiter_android_vh_ipi_stop __traceiter_android_vh_mmc_check_status __traceiter_android_vh_mmc_ffu_update_cid __traceiter_android_vh_mmc_sd_update_cmdline_timing __traceiter_android_vh_mmc_sd_update_dataline_timing __traceiter_android_vh_mmc_update_partition_status __traceiter_android_vh_modify_thermal_request_freq __traceiter_android_vh_modify_thermal_target_freq __traceiter_android_vh_scheduler_tick __traceiter_android_vh_set_wake_flags __traceiter_android_vh_thermal_power_cap __traceiter_android_vh_thermal_register __traceiter_android_vh_thermal_unregister __traceiter_android_vh_update_topology_flags_workfn __traceiter_android_vh_usb_new_device_added __traceiter_clock_set_rate __traceiter_cpu_frequency __traceiter_cpu_frequency_limits __traceiter_pelt_se_tp __traceiter_sched_overutilized_tp __tracepoint_android_rvh_account_irq __tracepoint_android_rvh_account_irq_end __tracepoint_android_rvh_account_irq_start __tracepoint_android_rvh_after_dequeue_task __tracepoint_android_rvh_after_enqueue_task __tracepoint_android_rvh_build_perf_domains __tracepoint_android_rvh_can_migrate_task __tracepoint_android_rvh_check_preempt_wakeup __tracepoint_android_rvh_cpu_cgroup_attach __tracepoint_android_rvh_cpu_cgroup_can_attach __tracepoint_android_rvh_cpu_cgroup_online __tracepoint_android_rvh_cpu_overutilized __tracepoint_android_rvh_dequeue_task __tracepoint_android_rvh_effective_cpu_util __tracepoint_android_rvh_enqueue_task __tracepoint_android_rvh_find_busiest_group __tracepoint_android_rvh_find_busiest_queue __tracepoint_android_rvh_find_energy_efficient_cpu __tracepoint_android_rvh_find_lowest_rq __tracepoint_android_rvh_find_new_ilb __tracepoint_android_rvh_finish_prio_fork __tracepoint_android_rvh_is_cpu_allowed __tracepoint_android_rvh_migrate_queued_task __tracepoint_android_rvh_mmc_partition_status __tracepoint_android_rvh_mmc_sd_cmdline_timing __tracepoint_android_rvh_mmc_sd_dataline_timing __tracepoint_android_rvh_new_task_stats __tracepoint_android_rvh_prepare_prio_fork __tracepoint_android_rvh_psci_cpu_suspend __tracepoint_android_rvh_psci_tos_resident_on __tracepoint_android_rvh_rtmutex_prepare_setprio __tracepoint_android_rvh_sched_cpu_dying __tracepoint_android_rvh_sched_cpu_starting __tracepoint_android_rvh_sched_exec __tracepoint_android_rvh_sched_fork_init __tracepoint_android_rvh_sched_newidle_balance __tracepoint_android_rvh_sched_nohz_balancer_kick __tracepoint_android_rvh_schedule __tracepoint_android_rvh_select_fallback_rq __tracepoint_android_rvh_select_task_rq_dl __tracepoint_android_rvh_select_task_rq_fair __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_setscheduler __tracepoint_android_rvh_set_task_cpu __tracepoint_android_rvh_set_user_nice __tracepoint_android_rvh_tick_entry __tracepoint_android_rvh_try_to_wake_up __tracepoint_android_rvh_try_to_wake_up_success __tracepoint_android_rvh_update_cpu_capacity __tracepoint_android_rvh_update_misfit_status __tracepoint_android_rvh_wake_up_new_task __tracepoint_android_vh_arch_set_freq_scale __tracepoint_android_vh_atomic_remove_fb __tracepoint_android_vh_audio_usb_offload_connect __tracepoint_android_vh_audio_usb_offload_ep_action __tracepoint_android_vh_audio_usb_offload_suspend __tracepoint_android_vh_audio_usb_offload_synctype __tracepoint_android_vh_binder_restore_priority __tracepoint_android_vh_binder_set_priority __tracepoint_android_vh_binder_transaction_init __tracepoint_android_vh_cpufreq_fast_switch __tracepoint_android_vh_cpufreq_resolve_freq __tracepoint_android_vh_cpufreq_target __tracepoint_android_vh_drm_atomic_check_modeset __tracepoint_android_vh_dump_throttled_rt_tasks __tracepoint_android_vh_enable_thermal_power_throttle __tracepoint_android_vh_get_thermal_zone_device __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_mmc_check_status __tracepoint_android_vh_mmc_ffu_update_cid __tracepoint_android_vh_mmc_sd_update_cmdline_timing __tracepoint_android_vh_mmc_sd_update_dataline_timing __tracepoint_android_vh_mmc_update_partition_status __tracepoint_android_vh_modify_thermal_request_freq __tracepoint_android_vh_modify_thermal_target_freq __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_set_wake_flags __tracepoint_android_vh_thermal_power_cap __tracepoint_android_vh_thermal_register __tracepoint_android_vh_thermal_unregister __tracepoint_android_vh_update_topology_flags_workfn __tracepoint_cpu_frequency __tracepoint_cpu_frequency_limits __tracepoint_pelt_se_tp __tracepoint_sched_overutilized_tp trace_raw_output_prep trace_seq_printf try_module_get __tty_alloc_driver tty_flip_buffer_push __tty_insert_flip_char tty_insert_flip_string_fixed_flag tty_kref_put tty_port_close tty_port_destroy tty_port_hangup tty_port_init tty_port_link_device tty_port_open tty_port_register_device tty_port_tty_get tty_port_tty_wakeup tty_register_driver tty_standard_install tty_std_termios tty_termios_baud_rate tty_unregister_device tty_unregister_driver tty_vhangup __ubsan_handle_cfi_check_fail_abort uclamp_eff_value __udelay unlock_new_inode unlock_page unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_filesystem unregister_pernet_subsys up update_rq_clock usb_add_gadget usb_add_gadget_udc usb_alloc_coherent usb_alloc_urb usb_autopm_get_interface usb_autopm_get_interface_no_resume usb_autopm_put_interface usb_control_msg usb_decode_ctrl usb_del_gadget usb_del_gadget_udc usb_deregister usb_ep_alloc_request usb_ep_autoconfig usb_ep_dequeue usb_ep_enable usb_ep_free_request usb_ep_queue usb_ep_set_maxpacket_limit usb_find_common_endpoints usb_free_coherent usb_free_urb usb_function_register usb_function_unregister usb_gadget_giveback_request usb_gadget_udc_reset usb_gadget_unmap_request_by_dev usb_get_dr_mode usb_get_intf usb_get_maximum_speed usb_get_maximum_ssp_rate usb_get_role_switch_default_mode usb_hcd_is_primary_hcd usb_initialize_gadget usb_interface_id usb_kill_urb usbnet_change_mtu usbnet_disconnect usbnet_get_drvinfo usbnet_get_endpoints usbnet_get_link usbnet_get_msglevel usbnet_link_change usbnet_nway_reset usbnet_open usbnet_probe usbnet_read_cmd usbnet_read_cmd_nopm usbnet_resume usbnet_set_msglevel usbnet_skb_return usbnet_start_xmit usbnet_stop usbnet_suspend usbnet_tx_timeout usbnet_unlink_rx_urbs usbnet_update_max_qlen usbnet_write_cmd usbnet_write_cmd_async usbnet_write_cmd_nopm usb_phy_get_charger_current usb_phy_set_charger_current usb_put_function_instance usb_put_intf usb_register_driver usb_submit_urb usleep_range_state vabits_actual vchan_dma_desc_free_list vchan_find_desc vchan_init vchan_tx_desc_free vchan_tx_submit verify_pkcs7_signature vfree vmalloc vmalloc_nr_pages vmalloc_to_page vm_iomap_memory vm_map_ram vm_memory_committed vm_node_stat vm_unmap_aliases vm_unmap_ram vm_zone_stat vscnprintf vsnprintf vunmap wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_timeout __wake_up wake_up_bit __wake_up_locked wake_up_process wakeup_source_add wakeup_source_create wakeup_source_destroy wakeup_source_register wakeup_source_remove wakeup_source_unregister wireless_nlevent_flush xa_destroy xa_erase xa_find xa_find_after xfrm4_protocol_deregister xfrm4_protocol_register xfrm4_rcv xfrm_aalg_get_byname xfrm_input xfrm_input_resume xfrm_output_resume xfrm_register_type xfrm_state_afinfo_get_rcu __xfrm_state_destroy xfrm_state_lookup xfrm_unregister_type xt_register_match xt_register_matches xt_register_target xt_register_targets xt_unregister_match xt_unregister_matches xt_unregister_target xt_unregister_targets # required by aes-ce-ccm.ko ce_aes_expandkey # required by aes-neon-blk.ko crypto_aes_inv_sbox crypto_aes_sbox crypto_alloc_shash crypto_register_skciphers crypto_shash_digest crypto_unregister_skciphers scatterwalk_ffwd # required by ah6.ko ip6_redirect ip6_update_pmtu net_ratelimit xfrm6_protocol_deregister xfrm6_protocol_register xfrm6_rcv # required by arc4.ko arc4_crypt arc4_setkey crypto_register_skcipher crypto_unregister_skcipher # required by blk-mq-virtio.ko blk_mq_map_queues # required by cdc-acm.ko capable cdc_parse_cdc_header default_wake_function tty_port_put tty_port_tty_hangup usb_anchor_urb usb_autopm_get_interface_async usb_autopm_put_interface_async usb_clear_halt usb_driver_claim_interface usb_driver_release_interface usb_get_from_anchor usb_ifnum_to_if # required by charger-manager.ko alarm_cancel alarm_expires_remaining alarm_forward alarm_init alarm_start alarmtimer_get_rtcdev devm_kasprintf ns_to_kernel_old_timeval ns_to_timespec64 orderly_poweroff power_supply_set_property regulator_force_disable regulator_set_current_limit thermal_zone_get_temp # required by clk-sprd.ko clk_hw_get_num_parents clk_hw_get_parent clk_hw_is_enabled __clk_mux_determine_rate devm_clk_hw_register devm_of_clk_add_hw_provider divider_get_val divider_recalc_rate divider_round_rate_parent of_clk_hw_onecell_get # required by cls_fw.ko __dev_get_by_index __dev_get_by_name __put_net register_tcf_proto_ops rtnl_is_locked tcf_action_exec tcf_exts_destroy tcf_exts_dump tcf_exts_dump_stats tcf_exts_validate tcf_queue_work unregister_tcf_proto_ops # required by cpufreq governor cpufreq_disable_fast_switch cpufreq_driver_fast_switch cpufreq_driver_resolve_freq __cpufreq_driver_target cpufreq_enable_fast_switch cpufreq_policy_transition_delay_us cpufreq_register_governor cpufreq_this_cpu_can_update cpufreq_unregister_governor # required by cqhci.ko devm_blk_ksm_init sdhci_cqe_disable sdhci_cqe_enable sdhci_cqe_irq # required by dns_resolver.ko generic_key_instantiate key_invalidate key_put key_revoke keyring_alloc key_validate kmemdup_nul memchr prepare_kernel_cred register_key_type request_key_tag unregister_key_type user_destroy user_read user_revoke # required by dwc3-haps.ko pcim_enable_device # required by dwc3-of-simple.ko clk_bulk_get_all clk_bulk_put_all of_reset_control_array_get reset_control_put reset_control_reset # required by dwc3-qcom.ko devm_extcon_register_notifier devm_reset_control_array_get # required by dwc3.ko extcon_register_notifier extcon_unregister_notifier usb_gadget_map_request_by_dev usb_gadget_set_state # required by exfat.ko __blockdev_direct_IO block_write_full_page __bread_gfp __brelse cont_write_begin current_time d_find_alias d_instantiate d_move drop_nlink filemap_fdatawait_range filemap_fdatawrite_range full_name_hash generic_block_bmap generic_cont_expand_simple generic_fh_to_dentry generic_fh_to_parent generic_file_fsync generic_file_mmap generic_file_read_iter generic_file_splice_read generic_file_write_iter generic_fillattr generic_write_end gen_pool_virt_to_phys __getblk_gfp ilookup in6_dev_finish_destroy inc_nlink in_group_p __insert_inode_hash invalidate_inode_buffers iunique kill_block_super kvfree kvmalloc_node load_nls __lock_buffer __lock_page mark_buffer_dirty __mark_inode_dirty match_int match_octal match_strdup match_token mktime64 mount_bdev mpage_readpage mpage_writepages new_inode __remove_inode_hash sb_min_blocksize sb_set_blocksize setattr_copy setattr_prepare strscpy sync_blockdev sync_dirty_buffer sync_mapping_buffers time64_to_tm touch_buffer trace_print_symbols_seq truncate_inode_pages truncate_pagecache truncate_setsize unload_nls unlock_buffer utf16s_to_utf8s utf8s_to_utf16s write_inode_now # required by fan53555.ko regulator_set_voltage_time_sel # required by focaltech_ats.ko devm_input_allocate_device devm_regulator_put i2c_transfer input_mt_init_slots input_mt_report_slot_state input_set_abs_params input_set_capability kobject_put of_find_i2c_adapter_by_node of_property_read_variable_u8_array request_firmware_nowait # required by ghash-ce.ko gf128mul_lle # required by gpio-eic-sprd.ko gpiochip_find # required by gpio-pmic-eic-sprd.ko handle_nested_irq # required by gpio-sprd.ko __platform_driver_probe # required by gtco.ko __usb_get_extra_descriptor # required by i2c-sprd.ko i2c_add_numbered_adapter i2c_del_adapter # required by img_mem.ko idr_get_next sg_alloc_table sg_free_table vsprintf # required by ims_bridge.ko completion_done in4_pton in6_pton inet_select_addr ip6_local_out ip6_route_output_flags ip_local_out ipv6_dev_get_saddr mempool_alloc mempool_alloc_slab mempool_create mempool_destroy mempool_free mempool_free_slab param_ops_int prandom_u32 proc_create_net_data __pskb_pull_tail rcu_barrier skb_checksum_help strim xfrm_lookup # required by ip6table_security.ko xt_hook_ops_alloc # required by ipcomp.ko ipcomp_destroy ipcomp_init_state ipcomp_input ipcomp_output xfrm_init_state xfrm_state_alloc xfrm_state_insert # required by ir-kbd-i2c.ko i2c_new_dummy_device i2c_unregister_device # required by kfifo_buf.ko devres_add devres_free devres_release iio_buffer_init iio_buffer_put __kfifo_to_user # required by kprobe_block.ko register_kprobe unregister_kprobe # required by kprobe_iowait.ko register_kretprobe sched_show_task unregister_kretprobe # required by l2tp_ppp.ko l2tp_session_create l2tp_session_delete l2tp_session_get_nth l2tp_session_register l2tp_session_set_header_len l2tp_tunnel_create l2tp_tunnel_delete l2tp_tunnel_get l2tp_tunnel_get_nth l2tp_tunnel_get_session l2tp_tunnel_register l2tp_udp_encap_recv l2tp_xmit_skb ppp_dev_name # required by leds-sc27xx-bltc.ko devm_led_classdev_register_ext # required by ledtrig-pattern.ko led_get_default_pattern led_set_brightness led_trigger_register led_trigger_unregister # required by mali_gondul.ko anon_inode_getfd __arch_clear_user __bitmap_weight bpf_trace_run5 clear_page devfreq_add_device devfreq_cooling_em_register devfreq_remove_device devfreq_resume_device devfreq_suspend_device dev_pm_opp_disable dev_pm_opp_find_freq_floor dev_pm_opp_put_regulators dev_pm_opp_set_regulators dma_fence_get_status dma_fence_remove_callback downgrade_write down_trylock get_user_pages get_user_pages_fast get_user_pages_remote hrtimer_active hrtimer_forward iomem_resource kstrtobool_from_user ktime_get_raw memstart_addr __mmdrop nonseekable_open of_machine_is_compatible of_property_read_variable_u64_array param_ops_byte pin_user_pages pin_user_pages_remote rb_erase rb_first rb_insert_color rb_next rb_prev rb_replace_node regulator_get_optional __release_region remap_vmalloc_range __request_region reservation_ww_class seq_open __seq_open_private seq_release_private seq_write set_page_dirty_lock sg_copy_from_buffer sg_copy_to_buffer strcspn system_highpri_wq _totalram_pages __traceiter_android_rvh_report_bug __traceiter_android_rvh_tk_based_time_sync __traceiter_android_vh_dma_buf_release __traceiter_android_vh_printk_caller __traceiter_android_vh_printk_caller_id __traceiter_android_vh_printk_ext_header __traceiter_android_vh_regmap_update trace_output_call __tracepoint_android_rvh_report_bug __tracepoint_android_rvh_tk_based_time_sync __tracepoint_android_vh_dma_buf_release __tracepoint_android_vh_printk_caller __tracepoint_android_vh_printk_caller_id __tracepoint_android_vh_printk_ext_header __tracepoint_android_vh_regmap_update __tracepoint_android_vh_usb_new_device_added __tracepoint_clock_set_rate __tracepoint_gpu_mem_total tracepoint_probe_register tracepoint_probe_unregister trace_print_array_seq trace_print_flags_seq unpin_user_page vmalloc_user vmf_insert_pfn_prot ww_mutex_lock ww_mutex_unlock # required by mali_kbase.ko debugfs_attr_read debugfs_attr_write dev_pm_qos_read_value __find_vma __traceiter_gpu_mem_total # required by microarray_fp.ko cdev_alloc # required by mmc_hsq.ko mmc_cqe_request_done mmc_send_tuning # required by mmc_swcq.ko dma_map_sg_attrs mmc_cmdq_disable mmc_cmdq_enable mmc_cqe_post_req mmc_hw_reset mmc_issue_type mmc_put_card mmc_switch mmc_wait_for_cmd # required by musb_hdrc.ko device_wakeup_enable flush_dcache_page flush_delayed_work param_ops_bool usb_add_hcd usb_create_hcd usb_ep_set_halt usb_hcd_check_unlink_urb usb_hcd_giveback_urb usb_hcd_link_urb_to_ep usb_hcd_map_urb_for_dma usb_hcd_poll_rh_status usb_hcd_resume_root_hub usb_hcd_unlink_urb_from_ep usb_hcd_unmap_urb_for_dma usb_otg_state_string usb_put_hcd usb_remove_hcd # required by musb_sprd.ko devm_regulator_get_exclusive usb_ep_disable usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_unregister usb_speed_string # required by npu_img_vha.ko debugfs_create_u64 _dev_crit dma_fence_array_create do_exit of_irq_get_byname yield # required by nu1619_wireless_charger.ko regmap_bulk_read # required by pcie-sprd.ko device_get_next_child_node devm_gpiod_get_index devm_ioremap devm_pm_opp_set_regulators dw_pcie_host_init dw_pcie_read_dbi dw_pcie_setup_rc dw_pcie_wait_for_link dw_pcie_write_dbi fwnode_handle_put gpiod_get_value msi_desc_to_pci_sysdata of_fwnode_ops pci_assign_unassigned_bus_resources pci_bus_add_devices pci_check_and_mask_intx pci_check_and_unmask_intx pci_clear_master pcie_bus_configure_settings pci_enable_msi pci_intx pci_ioremap_bar pci_ioremap_wc_bar pci_lock_rescan_remove pci_reset_function pci_scan_child_bus pci_stop_and_remove_bus_device pci_unlock_rescan_remove sdio_claim_irq sdio_f0_readb sdio_f0_writeb sdio_get_host_pm_caps sdio_readw sdio_release_irq sdio_set_host_pm_flags sdio_writew # required by phy-sprd-sharkl3.ko usb_add_phy_dev usb_phy_set_charger_state usb_remove_phy # required by pinctrl-sprd.ko devm_platform_ioremap_resource of_property_read_string_helper pinconf_generic_parse_dt_config pinctrl_dev_get_drvdata pinctrl_register pinctrl_unregister pinctrl_utils_add_map_configs pinctrl_utils_add_map_mux pinctrl_utils_free_map pinctrl_utils_reserve_map pin_get_name # required by ppp_async.ko crc_ccitt_table n_tty_ioctl_helper ppp_channel_index ppp_input_error ppp_output_wakeup ppp_register_channel ppp_unit_number ppp_unregister_channel _raw_write_lock_irq _raw_write_unlock_irq skb_pull start_tty stop_tty tty_mode_ioctl tty_register_ldisc tty_unregister_ldisc tty_unthrottle # required by ppp_deflate.ko zlib_deflate zlib_deflateEnd zlib_deflateInit2 zlib_deflateReset zlib_deflate_workspacesize zlib_inflate zlib_inflateEnd zlib_inflateIncomp zlib_inflateInit2 zlib_inflateReset zlib_inflate_workspacesize # required by ppp_generic.ko bpf_prog_create bpf_prog_destroy bpf_stats_enabled_key iov_iter_init netif_rx ns_capable rtnl_link_register rtnl_link_unregister skb_queue_head skb_scrub_packet slhc_compress slhc_free slhc_init slhc_remember slhc_toss slhc_uncompress unregister_netdevice_many # required by ppp_mppe.ko crypto_has_ahash crypto_shash_final crypto_shash_update # required by pppoe.ko datagram_poll dev_add_pack dev_get_by_name_rcu dev_remove_pack lock_sock_nested pppox_compat_ioctl pppox_ioctl pppox_unbind_sock ppp_register_net_channel proto_register proto_unregister pskb_trim_rcsum_slow register_pernet_device register_pppox_proto release_sock sk_alloc skb_copy_datagram_iter skb_pull_rcsum skb_recv_datagram __sk_receive_skb sock_init_data sock_no_accept sock_no_bind sock_no_listen sock_no_mmap sock_no_shutdown sock_no_socketpair sock_queue_rcv_skb sock_wfree sock_wmalloc unregister_pernet_device unregister_pppox_proto # required by pppox.ko sock_register sock_unregister # required by pptp.ko gre_add_protocol gre_del_protocol __ip_select_ident security_sk_classify_flow skb_set_owner_w sk_setup_caps sock_no_recvmsg sock_no_sendmsg # required by pvrsrvkm.ko autoremove_wake_function bpf_trace_run10 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 cache_line_size devfreq_cooling_unregister devfreq_recommended_opp devfreq_register_opp_notifier devfreq_unregister_opp_notifier devm_devfreq_add_device devm_devfreq_remove_device dev_pm_opp_add dev_pm_opp_find_freq_ceil dev_pm_opp_get_freq dev_pm_opp_get_opp_count dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_fence_add_callback dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_free dma_fence_init dma_fence_signal dma_unmap_page_attrs down_read down_write drm_dev_unregister drm_gem_cma_vmap drm_gem_objects_lookup drm_gem_shmem_get_pages_sgt drm_gem_shmem_madvise drm_gem_shmem_purge_locked drm_gem_shmem_put_pages drm_mode_set_name drm_of_component_probe drm_timeout_abs_to_jiffies fd_install fget find_vpid __get_task_comm get_unused_fd_flags idr_preload idr_replace kill_pid ksize kthread_freezable_should_stop kthread_park kthread_parkme kthread_should_park kthread_unpark ktime_get_raw_ts64 memcmp mutex_is_locked of_devfreq_cooling_register_power of_hwspin_lock_get_id_byname of_modalias_node prepare_to_wait proc_remove put_unused_fd raw_notifier_call_chain raw_notifier_chain_register _raw_read_lock_bh _raw_read_unlock_bh _raw_write_lock_bh _raw_write_unlock_bh register_shrinker request_firmware seq_vprintf set_freezable si_meminfo split_page strncat strsep sync_file_create sync_file_get_fence __task_pid_nr_ns trace_set_clr_event unmap_mapping_range unregister_shrinker unregister_sysctl_table up_read up_write __usecs_to_jiffies vmf_insert_mixed vmf_insert_pfn vm_get_page_prot vm_insert_page vsscanf vzalloc # required by pwm-sprd.ko pwmchip_add pwmchip_remove # required by pwm_bl.ko backlight_device_register backlight_device_unregister devm_pwm_get gpiod_get_direction int_pow pwm_free pwm_request # required by raw_diag.ko inet_diag_bc_sk inet_diag_register inet_diag_unregister inet_sk_diag_fill netlink_net_capable raw_v4_hashinfo __raw_v4_lookup raw_v6_hashinfo __raw_v6_lookup sock_diag_destroy # required by rc-core.ko input_scancode_to_scalar kobject_get_path led_trigger_register_simple led_trigger_unregister_simple __module_get nsecs_to_jiffies # required by roles.ko fwnode_connection_find_match fwnode_get_parent # required by rtc-sc27xx.ko devm_rtc_allocate_device rtc_ktime_to_tm rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq # required by sc2703-bl.ko of_pwm_get pwm_apply_state # required by sc2703_disp.ko mfd_add_devices # required by sc2703_regulator.ko regulator_notifier_call_chain # required by sc27xx-vibra.ko input_ff_create_memless # required by sc27xx_adc.ko devm_iio_device_alloc hwspin_lock_free hwspin_lock_request_specific __hwspin_lock_timeout __hwspin_unlock of_hwspin_lock_get_id # required by sc27xx_fuel_gauge.ko devm_iio_channel_get gpiod_get_value_cansleep iio_read_channel_processed power_supply_find_ocv2cap_table power_supply_ocv2cap_simple power_supply_put_battery_info power_supply_temp2resist_simple rtc_read_time # required by sc27xx_pd.ko device_get_named_child_node devm_extcon_register_notifier_all devm_regulator_get_optional # required by sc27xx_typec.ko devm_extcon_dev_allocate devm_extcon_dev_register extcon_set_state_sync typec_register_partner typec_register_port typec_set_data_role typec_set_pwr_opmode typec_set_pwr_role typec_set_vconn_role typec_unregister_partner typec_unregister_port # required by sc9863a-clk.ko device_get_match_data devm_reset_controller_register # required by sdhci-sprd.ko clk_round_rate mmc_free_host mmc_get_ext_csd mmc_of_parse mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_remove_host mmc_request_done __sdhci_add_host sdhci_cleanup_host sdhci_enable_clk sdhci_enable_v4_mode sdhci_pltfm_free sdhci_pltfm_init sdhci_remove_host sdhci_request sdhci_request_atomic sdhci_reset sdhci_runtime_resume_host sdhci_runtime_suspend_host sdhci_set_bus_width __sdhci_set_timeout sdhci_setup_host # required by sdiohal.ko mmc_detect_change mmc_set_data_timeout mmc_wait_for_req print_hex_dump __put_page sdio_claim_host sdio_enable_func sdio_memcpy_fromio sdio_memcpy_toio sdio_readb sdio_readl sdio_readsb sdio_register_driver sdio_release_host sdio_set_block_size sdio_unregister_driver sdio_writeb sdio_writel sdio_writesb # required by seth.ko napi_complete_done napi_disable napi_enable __napi_schedule napi_schedule_prep netif_napi_add netif_receive_skb register_netdev unregister_netdev # required by sfp_core.ko csum_tcpudp_nofold dev_get_by_index_rcu ip_send_check ipv6_ext_hdr ipv6_find_hdr kstrtouint_from_user __local_bh_enable_ip proc_dointvec register_netdevice_notifier register_net_sysctl rt6_lookup seq_open_private seq_release skb_copy_bits unregister_netdevice_notifier # required by sha1-ce.ko crypto_sha1_finup crypto_sha1_update # required by shubcore.ko iio_alloc_pollfunc iio_dealloc_pollfunc iio_device_alloc iio_device_attach_buffer iio_device_free iio_device_unregister iio_pollfunc_store_time iio_push_to_buffers iio_trigger_alloc iio_trigger_free iio_trigger_notify_done iio_trigger_poll __iio_trigger_register iio_trigger_unregister ktime_get_with_offset param_array_ops unregister_pm_notifier # required by sipa_core.ko free_pages get_cpu_idle_time_us kernel_cpustat memremap memunmap nf_conntrack_register_notifier pm_wakeup_dev_event skb_dequeue skb_queue_tail # required by sipa_sys_pd.ko kstrdup of_genpd_add_provider_simple pm_genpd_init pm_genpd_remove # required by sipc_core.ko gen_pool_destroy mbox_chan_received_data mbox_chan_txdone mbox_client_txdone mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_request_channel_byname mbox_send_message register_syscore_ops # required by sipx.ko hrtimer_cancel hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel # required by snd-soc-akm4377.ko regcache_mark_dirty regcache_sync snd_soc_get_volsw snd_soc_put_volsw # required by snd-soc-aw881xx.ko i2c_smbus_write_byte # required by snd-soc-sprd-audio-dma.ko dmam_alloc_attrs dmam_free_coherent dma_release_channel __memset_io snd_pcm_format_physical_width snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_step snd_soc_rtdcom_lookup snd_soc_set_runtime_hwparams vmap # required by snd-soc-sprd-card.ko devm_gpio_request_one devm_snd_soc_register_card gpio_request_one snd_interval_refine snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_parse_audio_simple_widgets snd_soc_of_parse_card_name snd_soc_of_parse_tdm_slot # required by snd-soc-sprd-codec-sc2721-power.ko regulator_register regulator_unregister # required by snd-soc-sprd-codec-sc2721.ko dapm_regulator_event gpiod_set_debounce iio_channel_get iio_read_channel_raw iio_write_channel_attribute irq_to_desc power_supply_register power_supply_unregister regulator_get regulator_get_mode regulator_is_enabled regulator_put regulator_set_mode regulator_set_voltage snd_ctl_find_id snd_jack_set_key snd_pcm_rate_to_rate_bit snd_soc_card_jack_new snd_soc_component_update_bits snd_soc_component_write snd_soc_dapm_force_enable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_volsw snd_soc_get_enum_double snd_soc_jack_report snd_soc_put_enum_double # required by snd-soc-sprd-codec-sc2730.ko dapm_kcontrol_get_value __kfifo_from_user_r __kfifo_init __kfifo_to_user_r snd_card_new snd_card_register snd_ctl_add snd_ctl_new1 snd_pcm_new snd_pcm_rate_bit_to_rate snd_pcm_set_managed_buffer_all snd_pcm_set_ops snd_soc_bytes_info_ext snd_soc_new_compress # required by snd-soc-sprd-platform.ko devm_snd_soc_register_component of_reserved_mem_device_init_by_idx snd_dma_alloc_pages snd_dma_free_pages # required by snd-soc-sprd-vbc-r1p0v3.ko snd_ctl_boolean_mono_info snd_pcm_hw_constraint_list # required by snd-soc-tfa98xx.ko crc32_le device_create_bin_file device_remove_bin_file msleep_interruptible snd_pcm_format_width snd_pcm_hw_constraint_mask64 snd_soc_dapm_add_routes snd_soc_dapm_new_controls # required by spi-bitbang.ko spi_register_controller spi_unregister_controller # required by spi-sprd-adi.ko unregister_restart_handler # required by spi-sprd.ko dma_request_chan spi_controller_resume spi_controller_suspend spi_finalize_current_transfer # required by sprd-cpufreq-common.ko dev_pm_opp_remove # required by sprd-cpufreqhw.ko cpufreq_generic_attr cpufreq_generic_frequency_table_verify cpufreq_generic_get cpufreq_generic_suspend cpufreq_register_driver cpufreq_unregister_driver dev_pm_opp_free_cpufreq_table dev_pm_opp_init_cpufreq_table # required by sprd-dma.ko dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init of_dma_controller_free of_dma_controller_register of_dma_simple_xlate # required by sprd-drm.ko adjust_managed_page_count component_add component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_unbind_all devm_gpiod_get_optional dma_get_sgtable_attrs dma_mmap_attrs dma_set_coherent_mask drm_add_edid_modes drm_atomic_helper_async_commit drm_atomic_helper_check drm_atomic_helper_cleanup_planes drm_atomic_helper_commit drm_atomic_helper_commit_cleanup_done drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_commit_tail drm_atomic_helper_commit_tail_rpm drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_reset drm_atomic_helper_crtc_destroy_state drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_crtc_reset drm_atomic_helper_disable_plane drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_prepare_planes drm_atomic_helper_resume drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_suspend drm_atomic_helper_swap_state drm_atomic_helper_update_legacy_modeset_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_dependencies drm_atomic_helper_wait_for_fences drm_atomic_helper_wait_for_vblanks drm_atomic_private_obj_fini drm_atomic_private_obj_init drm_atomic_set_crtc_for_connector drm_atomic_set_fence_for_plane drm_atomic_set_mode_for_crtc drm_atomic_state_alloc drm_atomic_state_clear drm_atomic_state_default_clear drm_atomic_state_default_release __drm_atomic_state_free drm_bridge_attach drm_connector_attach_content_protection_property drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_unregister drm_connector_update_edid_property drm_crtc_cleanup __drm_crtc_commit_free drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_off drm_crtc_vblank_on drm_detect_monitor_audio drm_display_mode_from_videomode drm_display_mode_to_videomode drm_dp_aux_register drm_dp_aux_unregister drm_dp_calc_pbn_mode drm_dp_channel_eq_ok drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_read_link_status drm_dp_dpcd_write drm_dp_get_adjust_request_pre_emphasis drm_dp_get_adjust_request_voltage drm_dp_link_train_channel_eq_delay drm_encoder_cleanup drm_encoder_init drm_format_info drm_gem_cma_vm_ops drm_gem_create_mmap_offset drm_gem_fb_create drm_gem_fb_get_obj drm_gem_handle_create drm_gem_mmap drm_gem_mmap_obj drm_gem_object_init drm_gem_object_release drm_gem_prime_export drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_import drm_gem_vm_close drm_get_edid drm_hdcp_check_ksvs_revoked drm_hdcp_update_content_protection drm_hdmi_infoframe_set_hdr_metadata drm_helper_hpd_irq_event drm_helper_probe_single_connector_modes drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_match_cea_mode drm_mode_config_reset drm_mode_create drm_mode_crtc_set_gamma_size drm_mode_destroy drm_mode_duplicate drm_mode_probed_add drm_mode_vrefresh drm_object_attach_property drm_panel_add drm_panel_disable drm_panel_enable drm_panel_get_modes drm_panel_init drm_panel_prepare drm_panel_remove drm_panel_unprepare drm_plane_cleanup drm_plane_create_alpha_property drm_plane_create_blend_mode_property drm_plane_create_rotation_property drm_plane_create_zpos_immutable_property drm_prime_gem_destroy drm_property_create drm_property_create_blob drm_property_create_range drm_put_dev drm_universal_plane_init drm_vblank_init __dynamic_dev_dbg __dynamic_pr_debug extcon_get_property gpiod_direction_output hdmi_drm_infoframe_pack_only kstrtou8 mipi_dsi_attach mipi_dsi_dcs_read mipi_dsi_dcs_write_buffer mipi_dsi_detach mipi_dsi_driver_register_full mipi_dsi_driver_unregister mipi_dsi_generic_read mipi_dsi_generic_write mipi_dsi_host_register mipi_dsi_host_unregister mipi_dsi_set_maximum_return_packet_size no_llseek of_clk_get_by_name of_drm_find_bridge of_drm_find_panel of_fdt_unflatten_tree of_find_backlight_by_node of_find_node_opts_by_path of_get_drm_display_mode of_graph_get_endpoint_by_regs of_graph_get_remote_port_parent of_reserved_mem_device_release __platform_register_drivers platform_unregister_drivers regmap_get_reg_stride regmap_get_val_bytes try_wait_for_completion # required by sprd-gsp.ko __kthread_init_worker kthread_queue_work kthread_worker_fn # required by sprd-hwdvfs-sharkl3.ko __i2c_transfer rt_mutex_trylock # required by sprd-mailbox.ko devm_mbox_controller_register # required by sprd-saudio.ko snd_card_free # required by sprd-sc27xx-spi.ko devm_regmap_add_irq_chip regmap_irq_get_domain spi_bus_type __spi_register_driver spi_sync # required by sprd_apb_busmonitor.ko _dev_emerg # required by sprd_apdu_r3p0.ko __netlink_kernel_create netlink_kernel_release __nlmsg_put of_get_compatible_child # required by sprd_camera.ko dma_heap_buffer_alloc dma_heap_buffer_free dma_heap_find ktime_get_ts64 of_irq_to_resource _raw_read_trylock _raw_spin_trylock regulator_get_linear_step # required by sprd_coresight.ko amba_bustype amba_driver_register amba_driver_unregister bus_find_device bus_for_each_dev configfs_register_group configfs_register_subsystem configfs_unregister_subsystem config_group_init config_item_set_name dma_alloc_pages dma_free_pages fwnode_handle_get hashlen_string init_pid_ns krealloc of_cpu_node_to_id of_get_next_parent of_graph_get_next_endpoint of_graph_get_port_parent of_graph_get_remote_endpoint of_graph_parse_endpoint of_node_name_eq perf_aux_output_begin perf_aux_output_end perf_aux_output_flag perf_event_addr_filters_sync perf_get_aux perf_pmu_register perf_pmu_unregister sysfs_add_file_to_group sysfs_add_link_to_group sysfs_remove_file_from_group sysfs_remove_link_from_group task_active_pid_ns # required by sprd_cpp.ko clk_get_parent of_iomap # required by sprd_cproc.ko of_get_parent # required by sprd_cpu_cooling.ko cpufreq_get_policy cpufreq_quick_get dev_pm_opp_find_freq_exact thermal_cooling_device_unregister thermal_of_cooling_device_register # required by sprd_dedicate_thm.ko devm_thermal_zone_of_sensor_register system_power_efficient_wq # required by sprd_dvfs.ko devfreq_add_governor devfreq_event_get_event devfreq_remove_governor devfreq_update_status device_rename update_devfreq # required by sprd_hang_trigger.ko kstrtoul_from_user kthread_bind # required by sprd_hwspinlock.ko hwspin_lock_register hwspin_lock_unregister # required by sprd_ion.ko memset32 of_platform_device_create __sg_page_iter_next __sg_page_iter_start # required by sprd_ipa.ko irq_set_affinity_hint napi_gro_receive skb_to_sgvec # required by sprd_mcd.ko gpiod_set_value_cansleep kernel_power_off register_reboot_notifier register_restart_handler unregister_reboot_notifier # required by sprd_memdisk.ko __alloc_disk_node blk_cleanup_queue blk_mq_end_request blk_mq_start_request blk_queue_logical_block_size del_gendisk device_add_disk put_disk # required by sprd_pcie_ep_device.ko pci_enable_device pci_request_regions pci_restore_state pci_save_state pci_set_power_state # required by sprd_pm_domain.ko kfree_const kstrdup_const of_genpd_add_subdomain # required by sprd_powerdebug.ko cpu_pm_register_notifier cpu_pm_unregister_notifier pm_print_active_wakeup_sources syscon_node_to_regmap # required by sprd_sdio_fm.ko vfs_llseek # required by sprd_sip_svc.ko __arm_smccc_smc # required by sprd_soc_thm.ko thermal_zone_device_unregister thermal_zone_device_update thermal_zone_of_sensor_register # required by sprd_tcpm.ko typec_altmode_attention typec_altmode_notify typec_altmode_update_active typec_altmode_vdm typec_find_port_data_role typec_find_port_power_role typec_find_power_role typec_get_drvdata typec_match_altmode typec_partner_register_altmode typec_partner_set_identity typec_port_register_altmode typec_set_mode typec_set_orientation typec_unregister_altmode usb_role_switch_get usb_role_switch_put usb_role_switch_set_role # required by sprd_thermal.ko devm_thermal_zone_of_sensor_unregister # required by sprd_usb_f_rndis.ko alloc_etherdev_mqs dev_get_stats dev_set_mac_address eth_mac_addr ethtool_op_get_link eth_validate_addr hex_to_bin usb_assign_descriptors usb_free_all_descriptors usb_gstrings_attach # required by sprd_usb_f_serial.ko tty_driver_kref_put tty_wakeup # required by sprd_vdsp.ko bsearch devm_kstrdup __memcpy_toio __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking of_match_device of_n_addr_cells of_n_size_cells of_translate_address sort __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking # required by sprd_wdf.ko kstrtoull_from_user smpboot_register_percpu_thread smp_call_function_single # required by sprd_wdh.ko copy_from_kernel_nofault vmalloc_to_pfn # required by sprd_wdt.ko devm_watchdog_register_device watchdog_init_timeout # required by sprd_wlan_combo.ko __netdev_alloc_frag_align printk_timed_ratelimit # required by sprdbt_sipc_tty.ko tty_schedule_flip # required by sprdwl_ng.ko bcmp build_skb consume_skb _ctype dev_get_by_index down_timeout genlmsg_put jiffies_to_usecs kfree_skb_list netdev_err netdev_info netdev_warn netlink_unicast nla_memcpy __nla_parse nla_put_64bit nla_put nla_put_nohdr register_inet6addr_notifier register_inetaddr_notifier register_netdevice rtnl_lock rtnl_unlock simple_open skb_queue_purge skb_unlink synchronize_rcu unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdevice_queue __warn_printk # required by ssd20xx_ts.ko input_mt_report_pointer_emulation # required by sunwave_fp.ko input_unregister_device # required by tcpm.ko fwnode_property_read_u32_array mod_delayed_work_on tcpm_cc_change tcpm_pd_hard_reset tcpm_pd_receive tcpm_pd_transmit_complete tcpm_register_port tcpm_unregister_port tcpm_vbus_change usb_debug_root # required by trusty-pm.ko ktime_get_boot_fast_ns unregister_syscore_ops # required by trusty.ko _copy_from_iter _copy_to_iter __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance device_for_each_child disable_percpu_irq enable_percpu_irq free_percpu_irq irq_create_of_mapping panic_notifier_list register_virtio_device register_virtio_driver __request_percpu_irq unregister_virtio_device unregister_virtio_driver virtqueue_add_inbuf virtqueue_add_outbuf virtqueue_detach_unused_buf virtqueue_get_buf virtqueue_get_vring_size virtqueue_kick virtqueue_kick_prepare virtqueue_notify vring_del_virtqueue vring_interrupt vring_new_virtqueue wait_woken woken_wake_function # required by typec.ko blocking_notifier_call_chain __class_register class_unregister device_find_child fwnode_property_read_u16_array get_device kobject_uevent match_string # required by typec_displayport.ko extcon_sync typec_altmode2port typec_altmode_enter typec_altmode_exit typec_altmode_get_partner __typec_altmode_register_driver typec_altmode_unregister_driver typec_get_negotiated_svdm_version # required by ufs-sprd.ko __traceiter_android_vh_ufs_check_int_errors __traceiter_android_vh_ufs_compl_command __traceiter_android_vh_ufs_fill_prdt __traceiter_android_vh_ufs_prepare_command __traceiter_android_vh_ufs_send_command __traceiter_android_vh_ufs_send_tm_command __traceiter_android_vh_ufs_send_uic_command __traceiter_android_vh_ufs_update_sdev __traceiter_android_vh_ufs_update_sysfs __tracepoint_android_vh_ufs_check_int_errors __tracepoint_android_vh_ufs_compl_command __tracepoint_android_vh_ufs_fill_prdt __tracepoint_android_vh_ufs_prepare_command __tracepoint_android_vh_ufs_send_command __tracepoint_android_vh_ufs_send_tm_command __tracepoint_android_vh_ufs_send_uic_command __tracepoint_android_vh_ufs_update_sdev __tracepoint_android_vh_ufs_update_sysfs ufshcd_auto_hibern8_update ufshcd_config_pwr_mode ufshcd_delay_us ufshcd_dme_configure_adapt ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_fixup_dev_quirks ufshcd_get_local_unipro_ver ufshcd_hold ufshcd_link_recovery ufshcd_pltfrm_init ufshcd_pltfrm_shutdown ufshcd_query_attr ufshcd_query_descriptor_retry ufshcd_query_flag ufshcd_read_desc_param ufshcd_release ufshcd_remove ufshcd_resume_complete ufshcd_runtime_resume ufshcd_runtime_suspend ufshcd_suspend_prepare ufshcd_system_resume ufshcd_system_suspend # required by unisoc_dump_io.ko blk_stat_enable_accounting # required by unisoc_multi_control.ko cpufreq_table_index_unsorted # required by unisoc_schedutil.ko irq_work_queue kobject_init_and_add # required by unisoc_ursp.ko raw_notifier_chain_unregister sock_i_uid # required by usb-storage.ko blk_queue_bounce_limit blk_queue_max_hw_sectors blk_queue_update_dma_alignment _dev_notice param_ops_string schedule_timeout_uninterruptible __scsi_add_device scsi_add_host_with_dma scsi_device_get scsi_device_put scsi_eh_prep_cmnd scsi_eh_restore_cmnd __scsi_execute scsi_get_host_dev scsi_host_alloc scsi_host_put scsi_is_host_device scsi_normalize_sense scsi_print_sense_hdr scsi_remove_host scsi_report_bus_reset scsi_report_device_reset scsi_scan_host scsi_sense_desc_find scsi_test_unit_ready sg_miter_skip simple_strtoul usb_autopm_put_interface_no_suspend usb_lock_device_for_reset usb_reset_device usb_reset_endpoint usb_sg_cancel usb_sg_init usb_sg_wait usb_unlink_urb # required by usb_f_mtp.ko config_group_init_type_name usb_os_desc_prepare_interf_dir usb_string_id # required by usbserial.ko device_del driver_attach handle_sysrq tty_hangup tty_ldisc_deref tty_ldisc_ref tty_termios_copy_hw usb_disabled usb_get_dev usb_match_id usb_match_one_id usb_poison_urb usb_put_dev usb_show_dynids usb_store_new_id usb_unpoison_urb # required by vha.ko clk_bulk_get device_wakeup_disable devm_iounmap __ndelay param_ops_long param_ops_ulong pm_relax pm_stay_awake # required by virtio_crypto.ko cpu_bit_bitmap cpus_read_lock cpus_read_unlock cpu_topology crypto_engine_alloc_init crypto_engine_exit crypto_engine_start crypto_register_alg crypto_register_scomp crypto_unregister_alg crypto_unregister_scomp scatterwalk_map_and_copy sg_init_one sg_nents_for_len virtio_break_device virtqueue_add_sgs virtqueue_disable_cb virtqueue_enable_cb virtqueue_is_broken # required by wcn_bsp.ko gpiod_set_value memdup_user pci_disable_device pci_disable_msi pci_disable_msix pcie_capability_read_dword pcie_capability_read_word pci_enable_msix_range pci_enable_wake pci_find_ext_capability pci_load_and_free_saved_state pci_msi_vec_count pci_read_config_byte pci_read_config_dword pci_release_regions pci_store_saved_state pci_write_config_byte pci_write_config_dword register_chrdev_region request_firmware_direct # required by xcbc.ko crypto_check_attr_type crypto_mod_put crypto_register_template crypto_spawn_tfm crypto_unregister_template shash_register_instance # required by xfrm4_tunnel.ko xfrm4_tunnel_deregister xfrm4_tunnel_register # required by xt_TEE.ko static_key_slow_dec static_key_slow_inc xt_tee_enabled # required by xt_addrtype.ko inet_dev_addr_type __ipv6_addr_type ipv6_chk_addr # required by zram.ko bio_chain bio_init blkdev_put blk_status_to_errno file_path filp_close filp_open_block I_BDEV set_blocksize submit_bio_wait [abi_symbol_list] activate_task add_cpu add_device_randomness add_memory add_memory_subsection add_timer add_uevent_var add_wait_queue adjust_managed_page_count aes_encrypt aes_expandkey alarm_cancel alarm_init alarm_start alarm_start_relative alloc_anon_inode alloc_chrdev_region alloc_etherdev_mqs alloc_io_pgtable_ops alloc_netdev_mqs __alloc_pages __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_skb_with_frags alloc_workqueue amba_bustype amba_driver_register amba_driver_unregister android_debug_for_each_module android_debug_per_cpu_symbol android_debug_symbol android_rvh_probe_register anon_inode_getfile __arch_copy_from_user __arch_copy_to_user arch_freq_scale arch_timer_read_counter argv_free argv_split arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_smc __arm_smccc_sve_check atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function available_idle_cpu backlight_device_get_by_type backlight_device_register backlight_device_set_brightness backlight_device_unregister balance_push_callback bcmp bio_add_page bio_associate_blkg bio_endio bio_end_io_acct_remapped bio_init bio_start_io_acct bitmap_allocate_region __bitmap_clear bitmap_find_next_zero_area_off __bitmap_or bitmap_print_to_pagebuf bitmap_release_region __bitmap_set __blk_alloc_disk blk_bio_list_merge blkcg_policy_register blkcg_policy_unregister blk_cleanup_disk blkdev_get_by_dev blkdev_issue_flush blkdev_put blk_execute_rq_nowait blk_get_request blk_mq_debugfs_rq_show blk_mq_rq_cpu blk_mq_run_hw_queue blk_put_request blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_rq_map_user blk_rq_map_user_iov blk_rq_unmap_user blk_stat_enable_accounting blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run10 bpf_trace_run11 bpf_trace_run12 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 build_skb bus_find_device bus_for_each_dev bus_register bus_set_iommu bus_unregister cache_line_size cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag cgroup_taskset_first cgroup_taskset_next __check_object_size check_preempt_curr check_zeroed_user __class_create class_create_file_ns class_destroy class_find_device class_for_each_device class_interface_unregister __class_register class_remove_file_ns class_unregister cleanup_srcu_struct clear_page __ClearPageMovable clk_bulk_disable clk_bulk_enable clk_bulk_prepare clk_bulk_put_all clk_bulk_unprepare __clk_determine_rate clk_disable clk_enable clk_fixed_factor_ops clk_fixed_rate_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_hw_get_flags clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_is_prepared clk_hw_register clk_hw_round_rate clk_hw_unregister __clk_is_enabled __clk_mux_determine_rate_closest clk_notifier_register clk_notifier_unregister clk_prepare clk_put clk_register clk_round_rate clk_set_parent clk_set_rate clk_sync_state clk_unprepare close_fd cma_alloc cma_get_name cma_release compat_ptr_ioctl complete complete_all complete_and_exit completion_done component_add component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_unbind_all config_ep_by_speed configfs_register_group configfs_register_subsystem configfs_unregister_subsystem config_group_init config_group_init_type_name config_item_get config_item_put config_item_set_name console_stop console_suspend_enabled __const_udelay consume_skb contig_page_data _copy_from_iter copy_from_kernel_nofault __cpu_active_mask cpu_bit_bitmap cpufreq_cpu_get cpufreq_cpu_get_raw cpufreq_cpu_put cpufreq_disable_fast_switch cpufreq_driver_fast_switch cpufreq_driver_resolve_freq __cpufreq_driver_target cpufreq_enable_boost_support cpufreq_enable_fast_switch cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_attr_scaling_boost_freqs cpufreq_generic_frequency_table_verify cpufreq_get_driver_data cpufreq_get_policy cpufreq_quick_get_max cpufreq_register_driver cpufreq_register_governor cpufreq_register_notifier cpufreq_unregister_driver __cpuhp_remove_state __cpuhp_setup_state __cpuhp_setup_state_cpuslocked __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpuidle_governor_latency_req cpuidle_register_governor cpu_irqtime cpu_latency_qos_add_request cpu_latency_qos_remove_request cpu_latency_qos_request_active cpu_latency_qos_update_request cpumask_any_and_distribute cpumask_next cpumask_next_and cpu_number __cpu_online_mask cpu_pm_register_notifier cpu_pm_unregister_notifier __cpu_possible_mask __cpu_present_mask cpupri_find_fitness cpu_scale cpus_read_lock cpus_read_unlock cpu_subsys cpu_topology crc32_le crc8 crc8_populate_msb crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_ahash_digest crypto_ahash_setkey crypto_alloc_aead crypto_alloc_ahash crypto_alloc_base crypto_alloc_shash crypto_alloc_skcipher crypto_alloc_sync_skcipher crypto_comp_compress crypto_comp_decompress crypto_dequeue_request crypto_destroy_tfm crypto_enqueue_request crypto_has_alg crypto_init_queue crypto_register_aead crypto_register_ahash crypto_register_rngs crypto_register_skcipher crypto_shash_final crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey crypto_unregister_aead crypto_unregister_ahash crypto_unregister_rngs crypto_unregister_skcipher css_next_child csum_ipv6_magic csum_partial csum_tcpudp_nofold _ctype datagram_poll deactivate_task debugfs_attr_read debugfs_attr_write debugfs_create_atomic_t debugfs_create_blob debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_file_unsafe debugfs_create_symlink debugfs_create_u16 debugfs_create_u32 debugfs_create_u64 debugfs_create_u8 debugfs_create_ulong debugfs_create_x32 debugfs_create_x64 debugfs_create_x8 debugfs_file_get debugfs_file_put debugfs_lookup debugfs_remove dec_node_page_state dec_zone_page_state default_llseek default_wake_function deferred_free delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue dev_alloc_name dev_coredumpm dev_coredumpv _dev_crit dev_driver_string _dev_err dev_err_probe devfreq_add_device devfreq_add_governor devfreq_cooling_unregister devfreq_get_devfreq_by_node devfreq_remove_device devfreq_remove_governor devfreq_resume_device devfreq_suspend_device dev_fwnode __dev_get_by_index dev_get_by_name dev_get_by_name_rcu dev_get_regmap device_add device_add_disk device_add_groups device_create device_create_bin_file device_create_file device_create_with_groups device_del device_destroy device_find_child device_for_each_child device_get_match_data device_initialize device_init_wakeup device_link_add device_match_fwnode device_match_name device_property_present device_property_read_string device_property_read_u16_array device_property_read_u32_array device_register device_remove_bin_file device_remove_file device_show_int device_store_int device_unregister device_wakeup_disable _dev_info __dev_kfree_skb_any devm_add_action devm_blk_ksm_init devm_clk_bulk_get devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_clk_hw_register devm_clk_put devm_clk_register devm_device_add_group devm_device_remove_group devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_dev_unregister devm_free_irq devm_gpiod_get_optional devm_gpiod_put devm_gpio_free devm_gpio_request devm_gpio_request_one devm_hwspin_lock_register devm_iio_channel_get devm_iio_device_alloc __devm_iio_device_register devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup devm_krealloc devm_kstrdup devm_led_classdev_register_ext devm_nvmem_cell_get devm_nvmem_device_get devm_nvmem_register devm_of_clk_add_hw_provider devm_of_icc_get __devm_of_phy_provider_register devm_of_platform_populate devm_of_pwm_get devm_pci_alloc_host_bridge devm_phy_create devm_phy_get devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_platform_get_and_ioremap_resource devm_platform_ioremap_resource devm_platform_ioremap_resource_byname devm_power_supply_register devm_regmap_add_irq_chip devm_regmap_del_irq_chip devm_regmap_field_alloc __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_regulator_put devm_regulator_register devm_regulator_register_notifier devm_request_any_context_irq __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_rtc_allocate_device __devm_rtc_register_device devm_snd_soc_register_card devm_snd_soc_register_component devm_thermal_of_cooling_device_register devm_thermal_zone_of_sensor_register devm_usb_get_phy_by_node devm_usb_get_phy_by_phandle _dev_notice dev_pm_domain_attach dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_genpd_add_notifier dev_pm_genpd_remove_notifier dev_pm_genpd_set_next_wakeup dev_pm_genpd_set_performance_state dev_pm_opp_add dev_pm_opp_adjust_voltage dev_pm_opp_disable dev_pm_opp_enable dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_opp_count dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_find_icc_paths dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_clkname dev_pm_opp_remove_all_dynamic dev_pm_opp_set_clkname dev_pm_opp_set_opp dev_pm_opp_set_rate dev_pm_opp_set_sharing_cpus dev_pm_qos_add_notifier dev_pm_qos_add_request dev_pm_qos_remove_notifier dev_pm_qos_remove_request dev_pm_qos_update_request _dev_printk dev_queue_xmit devres_add __devres_alloc_node devres_free devres_release dev_set_name _dev_warn disable_irq disable_irq_nosync disable_percpu_irq disk_end_io_acct disk_start_io_acct divider_get_val divider_recalc_rate divider_ro_round_rate_parent divider_round_rate_parent dma_alloc_attrs dma_alloc_pages dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_begin_cpu_access_partial dma_buf_detach dma_buf_end_cpu_access dma_buf_end_cpu_access_partial dma_buf_export dma_buf_fd dma_buf_get dma_buf_get_flags dma_buf_map_attachment dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_contiguous_default_area dma_fence_add_callback dma_fence_array_create dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_free dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_signal_timestamp_locked dma_fence_wait_timeout dma_free_attrs dma_free_pages dma_get_sgtable_attrs dma_get_slave_channel dma_heap_add dma_heap_buffer_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dmam_alloc_attrs dma_map_page_attrs dma_map_resource dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_mmap_attrs dma_release_channel dma_request_chan dma_resv_fini dma_resv_init dma_resv_wait_timeout dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_resource dma_unmap_sg_attrs do_exit do_trace_netlink_extack double_rq_lock do_wait_intr down down_interruptible down_read down_timeout down_write d_path dput drain_workqueue driver_find_device driver_register driver_unregister drm_add_edid_modes drm_add_modes_noedid drm_atomic_commit drm_atomic_get_connector_state drm_atomic_get_crtc_state drm_atomic_get_plane_state drm_atomic_get_private_obj_state drm_atomic_helper_check drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_duplicated_state drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes __drm_atomic_helper_connector_destroy_state __drm_atomic_helper_connector_duplicate_state __drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_dirtyfb drm_atomic_helper_disable_plane drm_atomic_helper_duplicate_state drm_atomic_helper_page_flip __drm_atomic_helper_plane_duplicate_state drm_atomic_helper_prepare_planes __drm_atomic_helper_private_obj_duplicate_state drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_swap_state drm_atomic_helper_update_legacy_modeset_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_fences drm_atomic_helper_wait_for_vblanks drm_atomic_private_obj_init drm_atomic_set_crtc_for_connector drm_atomic_set_crtc_for_plane drm_atomic_set_fb_for_plane drm_atomic_set_fence_for_plane drm_atomic_set_mode_for_crtc drm_atomic_state_alloc drm_atomic_state_clear drm_atomic_state_default_clear drm_atomic_state_default_release __drm_atomic_state_free drm_atomic_state_init drm_bridge_attach drm_bridge_chain_disable drm_bridge_chain_enable drm_bridge_chain_mode_set drm_bridge_chain_post_disable drm_bridge_chain_pre_enable drm_client_init drm_client_modeset_commit_locked drm_client_register drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_connector_unregister drm_connector_update_edid_property drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_set_max_vblank_count drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_reset drm_crtc_wait_one_vblank __drm_dbg __drm_debug drm_detect_hdmi_monitor drm_detect_monitor_audio drm_dev_alloc drm_dev_dbg drm_dev_printk drm_dev_put drm_dev_register drm_dev_unregister drm_dp_atomic_find_vcpi_slots drm_dp_atomic_release_vcpi_slots drm_dp_aux_register drm_dp_aux_unregister drm_dp_bw_code_to_link_rate drm_dp_calc_pbn_mode drm_dp_channel_eq_ok drm_dp_check_act_status drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_read_link_status drm_dp_dpcd_write drm_dp_find_vcpi_slots drm_dp_get_adjust_request_pre_emphasis drm_dp_get_adjust_request_voltage drm_dp_link_rate_to_bw_code drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_dp_mst_allocate_vcpi drm_dp_mst_deallocate_vcpi drm_dp_mst_detect_port drm_dp_mst_get_edid drm_dp_mst_get_port_malloc drm_dp_mst_hpd_irq drm_dp_mst_put_port_malloc drm_dp_mst_reset_vcpi_slots drm_dp_mst_topology_mgr_destroy drm_dp_mst_topology_mgr_init drm_dp_mst_topology_mgr_set_mst drm_dp_send_power_updown_phy drm_dp_update_payload_part1 drm_dp_update_payload_part2 drm_edid_duplicate drm_encoder_cleanup drm_encoder_init __drm_err drm_event_reserve_init_locked drm_format_info drm_framebuffer_init drm_framebuffer_lookup drm_framebuffer_remove drm_framebuffer_unregister_private drm_gem_create_mmap_offset drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_fb_get_obj drm_gem_get_pages drm_gem_handle_create drm_gem_mmap drm_gem_mmap_obj drm_gem_object_free drm_gem_object_init drm_gem_object_lookup drm_gem_object_release drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_private_object_init drm_gem_put_pages drm_gem_vm_close drm_gem_vm_open drm_get_connector_status_name drm_get_edid drm_get_format_info drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drm_is_current_master drm_kms_helper_hotplug_event drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_mm_init drm_mm_insert_node_in_range drmm_mode_config_init drm_mm_remove_node drm_mm_takedown drm_mode_config_cleanup drm_mode_config_reset drm_mode_convert_umode drm_mode_copy drm_mode_create drm_mode_create_dp_colorspace_property drm_mode_debug_printmodeline drm_mode_duplicate drm_mode_equal drm_mode_is_420_only drm_mode_match drm_mode_object_find drm_mode_object_get drm_mode_object_put drm_mode_probed_add drm_modeset_acquire_fini drm_modeset_acquire_init drm_modeset_backoff drm_mode_set_crtcinfo drm_modeset_drop_locks drm_modeset_lock drm_modeset_lock_all drm_modeset_lock_all_ctx drm_mode_set_name drm_modeset_unlock_all drm_mode_vrefresh drm_object_attach_property drm_object_property_set_value drm_of_component_match_add drm_open drm_panel_add drm_panel_init drm_panel_remove drm_plane_cleanup drm_plane_create_rotation_property drm_poll drm_prime_gem_destroy drm_prime_pages_to_sg drm_printf __drm_printfn_coredump drm_property_blob_get drm_property_blob_put drm_property_create drm_property_create_bitmask drm_property_create_blob drm_property_create_enum drm_property_create_range drm_property_lookup_blob __drm_puts_coredump drm_read drm_release drm_rotation_simplify drm_send_event_locked drm_set_preferred_mode drm_universal_plane_init drm_vblank_init drm_wait_one_vblank dst_release dump_stack edac_device_add_device edac_device_alloc_ctl_info edac_device_alloc_index edac_device_del_device edac_device_free_ctl_info edac_device_handle_ce_count edac_device_handle_ue_count elevator_alloc elv_register elv_unregister enable_irq enable_percpu_irq ether_setup eth_mac_addr eth_type_trans eventfd_ctx_fdget eventfd_ctx_fileget eventfd_ctx_put eventfd_ctx_remove_wait_queue eventfd_signal event_triggers_call extcon_get_edev_by_phandle extcon_get_edev_name extcon_get_property extcon_get_state extcon_register_notifier extcon_set_state_sync fasync_helper __fdget fd_install fget _find_first_bit _find_first_zero_bit find_get_pid _find_next_bit __find_vma find_vpid finish_wait firmware_request_nowarn flush_dcache_page flush_delayed_work flush_work flush_workqueue for_each_kernel_tracepoint fput free_io_pgtable_ops free_irq free_netdev __free_pages free_pages free_percpu free_percpu_irq freq_qos_add_request freq_qos_remove_request freq_qos_update_request fsync_bdev fwnode_find_reference fwnode_get_next_child_node fwnode_handle_get fwnode_handle_put fwnode_property_present fwnode_property_read_string fwnode_property_read_u32_array generic_device_group generic_file_llseek generic_handle_domain_irq generic_handle_irq generic_iommu_put_resv_regions geni_icc_disable geni_icc_enable geni_icc_get geni_icc_set_bw geni_se_clk_freq_match geni_se_config_packing geni_se_get_qup_hw_version geni_se_init geni_se_resources_off geni_se_resources_on geni_se_rx_dma_prep geni_se_rx_dma_unprep geni_se_select_mode geni_se_tx_dma_prep geni_se_tx_dma_unprep genlmsg_put genl_register_family genl_unregister_family gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_first_fit_order_align gen_pool_free_owner gen_pool_has_addr gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys getboottime64 get_cpu_device get_device get_each_dmabuf get_each_object_track __get_free_pages get_governor_parent_kobj get_option get_page_owner_handle get_pid_task get_random_bytes get_random_u32 get_sg_io_hdr get_slabinfo __get_task_comm get_task_mm get_task_pid get_unmapped_area get_unused_fd_flags get_user_ifreq get_user_pages get_zeroed_page gic_nonsecure_priorities gic_resume gov_attr_set_init gov_attr_set_put governor_sysfs_ops gpiochip_add_data_with_key gpiochip_add_pin_range gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_line_is_valid gpiochip_lock_as_irq gpiochip_remove gpiochip_unlock_as_irq gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_optional gpiod_get_raw_value gpiod_get_raw_value_cansleep gpiod_get_value gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_free_array gpio_request gpio_request_one gpio_to_desc gro_cells_destroy gro_cells_init gro_cells_receive handle_bad_irq handle_edge_irq handle_fasteoi_ack_irq handle_fasteoi_irq handle_level_irq handle_nested_irq handle_simple_irq handle_sysrq hashlen_string hex2bin hex_dump_to_buffer hex_to_bin housekeeping_cpumask housekeeping_overridden housekeeping_test_cpu hrtimer_active hrtimer_cancel hrtimer_forward __hrtimer_get_remaining hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel hvc_alloc hvc_kick hvc_poll hvc_remove hwrng_register hwrng_unregister hwspin_lock_free hwspin_lock_request_specific __hwspin_lock_timeout __hwspin_unlock hypervisor_kobj i2c_add_adapter i2c_bus_type i2c_del_adapter i2c_del_driver i2c_get_dma_safe_msg_buf i2c_put_dma_safe_msg_buf i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_write_byte_data i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i3c_generic_ibi_alloc_pool i3c_generic_ibi_free_pool i3c_generic_ibi_get_free_slot i3c_generic_ibi_recycle_slot i3c_master_add_i3c_dev_locked i3c_master_disec_locked i3c_master_do_daa i3c_master_enec_locked i3c_master_entdaa_locked i3c_master_get_free_addr i3c_master_queue_ibi i3c_master_register i3c_master_set_info i3c_master_unregister icc_get icc_link_create icc_node_add icc_node_create icc_nodes_remove icc_provider_add icc_provider_del icc_put icc_set_bw icc_set_tag ida_alloc_range ida_free idr_alloc idr_alloc_cyclic idr_alloc_u32 idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove idr_replace iio_channel_get iio_read_channel_processed import_iovec in4_pton in6_pton inc_node_page_state inc_zone_page_state in_egroup_p init_dummy_netdev init_iova_domain init_net init_pseudo __init_rwsem init_srcu_struct __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_close_device input_event input_ff_create input_ff_destroy input_free_device input_mt_destroy_slots input_mt_init_slots input_mt_report_slot_state input_mt_sync_frame input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_set_capability input_unregister_device input_unregister_handle input_unregister_handler interval_tree_insert interval_tree_iter_first interval_tree_iter_next interval_tree_remove int_sqrt iomem_resource iommu_alloc_resv_region iommu_attach_device iommu_detach_device iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_dma_get_resv_regions iommu_domain_alloc iommu_domain_free iommu_fwspec_add_ids iommu_fwspec_free iommu_get_domain_for_dev iommu_get_msi_cookie iommu_group_for_each_dev iommu_group_get iommu_group_get_iommudata iommu_group_put iommu_group_ref_get iommu_group_set_iommudata iommu_iova_to_phys iommu_map iommu_map_sg iommu_present iommu_put_dma_cookie iommu_set_fault_handler iommu_set_pgtable_quirks iommu_unmap __ioread32_copy __ioremap iounmap iov_iter_revert __iowrite32_copy ip_compute_csum ipi_desc_get ip_route_output_flow iput __ipv6_addr_type ipv6_ext_hdr ipv6_skip_exthdr ipv6_stub irq_check_status_bit irq_chip_ack_parent irq_chip_disable_parent irq_chip_enable_parent irq_chip_eoi_parent irq_chip_get_parent_state irq_chip_mask_parent irq_chip_retrigger_hierarchy irq_chip_set_affinity_parent irq_chip_set_parent_state irq_chip_set_type_parent irq_chip_set_vcpu_affinity_parent irq_chip_set_wake_parent irq_chip_unmask_parent irq_create_fwspec_mapping irq_create_mapping_affinity irq_dispose_mapping __irq_domain_add irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_disconnect_hierarchy irq_domain_free_irqs_common irq_domain_free_irqs_parent irq_domain_get_irq_data irq_domain_remove irq_domain_set_hwirq_and_chip irq_domain_set_info irq_domain_update_bus_token irq_domain_xlate_onecell irq_domain_xlate_twocell irq_find_matching_fwspec irq_get_irqchip_state irq_get_irq_data irq_modify_status irq_of_parse_and_map __irq_resolve_mapping irq_set_affinity_hint irq_set_affinity_notifier irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irqchip_state irq_set_irq_type irq_set_irq_wake irq_set_parent irq_to_desc irq_work_queue irq_work_queue_on irq_work_sync is_dma_buf_file is_vmalloc_addr iterate_fd jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_bind kernel_connect kernel_getsockname kernel_kobj kernel_power_off kernel_recvmsg kernel_restart kernel_sendmsg kernfs_find_and_get_ns kernfs_notify kernfs_put kern_mount kern_unmount __kfifo_alloc __kfifo_free __kfifo_in __kfifo_out __kfifo_to_user kfree kfree_const kfree_sensitive kfree_skb kfree_skb_list kick_all_cpus_sync kill_anon_super kill_fasync kimage_vaddr kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_create_usercopy kmem_cache_destroy kmem_cache_free kmemdup kmsg_dump_get_buffer kmsg_dump_register kmsg_dump_rewind kmsg_dump_unregister kobject_add kobject_create_and_add kobject_del kobject_init kobject_init_and_add kobject_put kobject_set_name kobject_uevent kobject_uevent_env kobj_sysfs_ops krealloc kset_create_and_add kset_find_obj kset_unregister ksize ksoftirqd kstat kstat_irqs_cpu kstat_irqs_usr kstrdup kstrdup_const kstrndup kstrtobool kstrtoint kstrtoint_from_user kstrtoll kstrtos8 kstrtos8_from_user kstrtou16 kstrtou16_from_user kstrtou8 kstrtou8_from_user kstrtouint kstrtouint_from_user kstrtoul_from_user kstrtoull kstrtoull_from_user kthread_bind_mask kthread_blkcg kthread_cancel_delayed_work_sync kthread_cancel_work_sync kthread_create_on_node kthread_create_worker kthread_delayed_work_timer_fn kthread_destroy_worker kthread_flush_work kthread_flush_worker __kthread_init_worker kthread_mod_delayed_work kthread_park kthread_parkme kthread_queue_delayed_work kthread_queue_work kthread_should_park kthread_should_stop kthread_stop kthread_unpark kthread_worker_fn ktime_get ktime_get_mono_fast_ns ktime_get_real_seconds ktime_get_real_ts64 ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node led_classdev_flash_register_ext led_classdev_flash_unregister led_classdev_unregister led_trigger_event led_trigger_register_simple led_trigger_unregister_simple __list_add_valid __list_del_entry_valid list_sort llist_add_batch llist_reverse_order __local_bh_enable_ip __lock_page lock_sock_nested lookup_page_ext mac_pton match_string mbox_chan_received_data mbox_chan_txdone mbox_client_txdone mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message media_device_cleanup media_device_init __media_device_register media_device_unregister media_entity_pads_init memblock_end_of_DRAM memblock_free __memcat_p memchr memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memory_block_size_bytes memory_read_from_buffer memparse mempool_alloc mempool_alloc_slab mempool_create mempool_destroy mempool_free mempool_free_slab memremap mem_section memset memset64 __memset_io memstart_addr memunmap migrate_swap __migrate_task mipi_dsi_create_packet mipi_dsi_dcs_set_display_brightness mipi_dsi_dcs_set_tear_off mipi_dsi_dcs_write mipi_dsi_host_register mipi_dsi_host_unregister misc_deregister misc_register __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking mmc_cqe_request_done mmc_of_parse mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_send_tuning mmput mod_delayed_work_on mod_node_page_state mod_timer __module_get module_layout module_put __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock name_to_dev_t napi_complete_done napi_disable napi_enable napi_gro_flush napi_gro_receive __napi_schedule napi_schedule_prep neigh_destroy neigh_resolve_output __netdev_alloc_skb netdev_rx_handler_register netdev_rx_handler_unregister netdev_update_features netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add __netif_napi_del netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_schedule_queue netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast __netlink_kernel_create netlink_kernel_release netlink_unicast nla_memcpy __nla_parse nla_put nla_put_64bit nla_strscpy __nlmsg_put no_llseek nonseekable_open noop_llseek nr_cpu_ids nr_ipi_get nr_irqs ns_capable nsecs_to_jiffies ns_to_timespec64 __num_online_cpus nvmem_cell_get nvmem_cell_put nvmem_cell_read nvmem_cell_read_u32 nvmem_cell_write nvmem_device_read nvmem_device_write of_address_to_resource of_alias_get_id of_clk_add_hw_provider of_clk_add_provider of_clk_del_provider of_clk_get_from_provider of_clk_hw_simple_get of_clk_src_onecell_get of_clk_src_simple_get of_count_phandle_with_args of_cpu_node_to_id of_css of_devfreq_cooling_register of_device_get_match_data of_device_is_available of_device_is_compatible of_device_uevent_modalias of_dma_configure_id of_dma_controller_free of_dma_controller_register of_dma_is_coherent of_drm_find_bridge of_drm_find_panel of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_phandle of_find_node_opts_by_path of_find_node_with_property of_find_property of_fwnode_ops of_genpd_add_provider_onecell of_genpd_add_provider_simple of_genpd_del_provider __of_get_address of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_next_parent of_get_parent of_get_property of_get_regulator_init_data of_get_required_opp_performance_state of_graph_get_next_endpoint of_graph_get_port_parent of_graph_get_remote_endpoint of_graph_get_remote_node of_graph_get_remote_port_parent of_graph_is_present of_graph_parse_endpoint of_hwspin_lock_get_id of_icc_get of_icc_xlate_onecell of_iomap of_irq_find_parent of_irq_get of_irq_get_byname of_irq_parse_one of_match_device of_match_node of_modalias_node of_n_addr_cells of_node_name_eq of_n_size_cells of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_phy_simple_xlate of_platform_depopulate of_platform_device_create of_platform_device_destroy of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_u64_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_root of_thermal_get_ntrips of_thermal_get_trip_points of_thermal_is_trip_valid of_translate_address page_endio page_mapping panic panic_notifier_list panic_timeout param_array_ops param_get_int param_get_string param_get_ullong param_ops_bool param_ops_charp param_ops_int param_ops_string param_ops_uint param_ops_ullong param_ops_ulong param_set_bool param_set_copystring param_set_int pci_alloc_irq_vectors_affinity pci_assign_resource pci_bus_type pci_clear_master pci_dev_get pci_device_group pci_device_is_present pci_dev_present pci_dev_put pci_disable_device pci_disable_msi pcie_capability_clear_and_set_word pcie_capability_read_word pci_enable_device pci_enable_pcie_error_reporting pci_find_ext_capability pci_free_irq_vectors pci_get_device pci_host_probe pci_iomap pci_iounmap pci_irq_vector pci_load_and_free_saved_state pci_load_saved_state pci_msi_create_irq_domain pci_msi_mask_irq pci_msi_unmask_irq pci_read_config_dword pci_read_config_word __pci_register_driver pci_release_region pci_request_region pci_restore_state pci_save_state pci_set_master pci_set_power_state pci_store_saved_state pci_unregister_driver pci_walk_bus pci_write_config_dword pci_write_config_word PDE_DATA __per_cpu_offset per_cpu_ptr_to_phys perf_aux_output_begin perf_aux_output_end perf_aux_output_flag perf_event_create_kernel_counter perf_event_enable perf_event_read_local perf_event_read_value perf_event_release_kernel perf_get_aux perf_pmu_register perf_pmu_unregister perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_calibrate phy_exit phy_init phy_power_off phy_power_on phy_set_mode_ext pick_highest_pushable_task pick_migrate_task pid_task pinconf_generic_dt_node_to_map pinctrl_dev_get_drvdata pinctrl_force_default pinctrl_force_sleep pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_select_state pinctrl_utils_free_map platform_bus_type platform_device_add platform_device_add_data platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_resource platform_get_resource_byname platform_irqchip_probe platform_irq_count platform_msi_domain_alloc_irqs platform_msi_domain_free_irqs pm_clk_add pm_clk_create pm_clk_destroy pm_clk_resume pm_clk_suspend pm_generic_resume pm_generic_suspend pm_genpd_add_subdomain pm_genpd_init pm_genpd_remove pm_genpd_remove_subdomain pm_power_off __pm_relax pm_relax pm_runtime_allow pm_runtime_autosuspend_expiration pm_runtime_barrier __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle pm_runtime_irq_safe pm_runtime_no_callbacks __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_system_wakeup pm_wakeup_dev_event pm_wakeup_ws_event policy_has_boost_freq power_supply_changed power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_put power_supply_register power_supply_reg_notifier power_supply_set_property power_supply_unregister power_supply_unreg_notifier prandom_u32 preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event print_hex_dump _printk _printk_deferred printk_timed_ratelimit proc_create proc_create_data proc_create_single_data proc_dointvec proc_dointvec_minmax proc_dostring proc_douintvec_minmax proc_mkdir proc_mkdir_data proc_remove proc_set_user proto_register proto_unregister pskb_expand_head __pskb_pull_tail ___pskb_trim put_device put_iova_domain __put_page put_pid put_sg_io_hdr __put_task_struct put_unused_fd put_user_ifreq pwm_apply_state pwmchip_add pwmchip_remove qcom_smem_state_get qcom_smem_state_register qcom_smem_state_unregister qcom_smem_state_update_bits queue_delayed_work_on queue_work_on radix_tree_insert radix_tree_iter_delete radix_tree_lookup radix_tree_next_chunk ___ratelimit rational_best_approximation raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_lock_irq _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irq _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave raw_spin_rq_lock_nested raw_spin_rq_unlock _raw_spin_trylock _raw_spin_trylock_bh _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irq _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irq _raw_write_unlock_irqrestore rb_erase rb_first rb_insert_color rb_last rb_next rb_prev rb_replace_node __rcu_read_lock __rcu_read_unlock rdev_get_drvdata reboot_mode refcount_dec_and_lock refcount_dec_not_one refcount_warn_saturate regcache_cache_only regcache_mark_dirty regcache_sync regcache_sync_region __register_blkdev __register_chrdev register_chrdev_region register_console register_die_notifier register_ftrace_export register_inet6addr_notifier register_inetaddr_notifier register_kprobe register_kretprobe register_memory_notifier register_module_notifier register_netdev register_netdevice register_netdevice_notifier register_netevent_notifier register_oom_notifier register_pm_notifier register_qdisc register_reboot_notifier register_restart_handler __register_rpmsg_driver register_shrinker register_syscore_ops register_sysctl_table regmap_bulk_read regmap_bulk_write regmap_check_range_table regmap_field_read regmap_field_update_bits_base __regmap_init regmap_irq_get_virq regmap_mmio_detach_clk regmap_multi_reg_write regmap_read regmap_register_patch regmap_update_bits_base regmap_write regulator_allow_bypass regulator_bulk_disable regulator_count_voltages regulator_disable regulator_enable regulator_force_disable regulator_get regulator_get_drvdata regulator_get_mode regulator_get_voltage regulator_get_voltage_rdev regulator_is_enabled regulator_is_supported_voltage regulator_list_voltage_linear regulator_notifier_call_chain regulator_put regulator_register_notifier regulator_set_current_limit regulator_set_load regulator_set_mode regulator_set_voltage regulator_unregister_notifier release_firmware __release_region release_sock remap_pfn_range remove_cpu remove_memory_subsection remove_proc_entry remove_wait_queue report_iommu_fault request_any_context_irq request_firmware request_firmware_direct request_firmware_into_buf request_firmware_nowait __request_module __request_percpu_irq __request_region request_threaded_irq resched_curr reset_control_assert reset_control_deassert return_address rfkill_alloc rfkill_destroy rfkill_init_sw_state rfkill_register rfkill_unregister root_task_group round_jiffies_relative rpmsg_get_signals rpmsg_poll rpmsg_register_device rpmsg_send rpmsg_set_signals rpmsg_trysend rpmsg_unregister_device rproc_add rproc_add_subdev rproc_alloc rproc_boot rproc_coredump rproc_coredump_add_custom_segment rproc_coredump_add_segment rproc_coredump_cleanup rproc_coredump_set_elf_info rproc_coredump_using_sections rproc_del rproc_free rproc_get_by_child rproc_get_by_phandle rproc_put rproc_remove_subdev rproc_report_crash rproc_shutdown rtc_class_close rtc_class_open rtc_read_time rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_link_register rtnl_link_unregister rtnl_lock rtnl_trylock rtnl_unicast rtnl_unlock runqueues sbitmap_add_wait_queue sbitmap_any_bit_set sbitmap_del_wait_queue sbitmap_init_node sbitmap_queue_clear __sbitmap_queue_get sbitmap_queue_init_node sbitmap_queue_min_shallow_depth sbitmap_queue_resize sbitmap_queue_show scatterwalk_ffwd scatterwalk_map_and_copy sched_clock sched_feat_keys sched_feat_names sched_setattr sched_set_fifo sched_set_normal sched_setscheduler sched_setscheduler_nocheck sched_show_task sched_trace_rd_span sched_uclamp_used schedule schedule_timeout schedule_timeout_interruptible scmi_driver_register scmi_driver_unregister scmi_protocol_register scmi_protocol_unregister scnprintf scsi_autopm_get_device scsi_autopm_put_device scsi_block_when_processing_errors scsi_cmd_allowed scsi_command_size_tbl scsi_device_get scsi_device_put scsi_ioctl scsi_ioctl_block_when_processing_errors __scsi_iterate_devices scsi_normalize_sense __scsi_print_sense scsi_register_interface sdev_prefix_printk __sdhci_add_host sdhci_add_host sdhci_cleanup_host sdhci_cqe_disable sdhci_cqe_enable sdhci_cqe_irq sdhci_enable_clk sdhci_get_property sdhci_pltfm_free sdhci_pltfm_init sdhci_remove_host sdhci_reset sdhci_set_bus_width sdhci_set_power_noreg __sdhci_set_timeout sdhci_setup_host select_fallback_rq send_sig_info seq_buf_printf seq_hex_dump seq_list_next seq_list_start seq_lseek seq_open seq_printf seq_putc seq_puts seq_read seq_release seq_vprintf seq_write set_blocksize set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_next_entity set_normalized_timespec64 set_page_dirty_lock __SetPageMovable set_task_cpu set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_copy_from_buffer sg_copy_to_buffer sg_free_table sg_init_one sg_init_table sg_miter_next sg_miter_start sg_miter_stop sg_next __sg_page_iter_dma_next __sg_page_iter_next __sg_page_iter_start shmem_read_mapping_page_gfp show_regs si_mem_available si_meminfo simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtol simple_strtoul simple_write_to_buffer single_open single_open_size single_release si_swapinfo sk_alloc skb_add_rx_frag skb_append_pagefrags skb_checksum skb_clone skb_coalesce_rx_frag skb_copy skb_copy_bits skb_copy_datagram_iter skb_copy_expand skb_dequeue skb_dequeue_tail skb_free_datagram __skb_get_hash __skb_gso_segment __skb_pad skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_recv_datagram skb_set_owner_w skb_store_bits skb_trim sk_error_report sk_free skip_spaces smp_call_function smp_call_function_single smp_call_function_single_async snd_info_create_card_entry snd_info_create_module_entry snd_info_free_entry snd_info_register snd_interval_refine snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_width _snd_pcm_hw_params_any snd_soc_add_component_controls snd_soc_card_get_kcontrol snd_soc_card_jack_new snd_soc_component_exit_regmap snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_update_bits snd_soc_component_write snd_soc_dai_get_channel_map snd_soc_dai_set_channel_map snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_force_enable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_volsw snd_soc_dapm_ignore_suspend snd_soc_dapm_kcontrol_widget snd_soc_dapm_mixer_update_power snd_soc_dapm_mux_update_power snd_soc_dapm_new_controls snd_soc_dapm_new_widgets snd_soc_dapm_put_enum_double snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_get_enum_double snd_soc_get_pcm_runtime snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_multi_ext snd_soc_info_volsw snd_soc_jack_report snd_soc_lookup_component snd_soc_of_parse_audio_routing snd_soc_of_parse_card_name snd_soc_pm_ops snd_soc_put_enum_double snd_soc_put_volsw snd_soc_register_component snd_soc_rtdcom_lookup snd_soc_runtime_set_dai_fmt snd_soc_unregister_card snd_soc_unregister_component snd_usb_autoresume snd_usb_autosuspend snd_usb_endpoint_close snd_usb_endpoint_configure snd_usb_endpoint_open snprintf soc_device_register soc_device_unregister sock_alloc_send_skb sock_create_kern sock_gettstamp sock_init_data sock_no_accept sock_no_listen sock_no_mmap sock_no_sendpage sock_no_shutdown sock_no_socketpair sock_queue_rcv_skb sock_register sock_release sock_setsockopt sock_unregister softnet_data sort __spi_alloc_controller spi_bus_type spi_register_controller __spi_register_driver spi_setup spi_sync spi_unregister_controller spmi_controller_add spmi_controller_alloc spmi_controller_remove __spmi_driver_register spmi_ext_register_read spmi_ext_register_readl spmi_ext_register_write spmi_ext_register_writel spmi_register_read spmi_register_write spmi_register_zero_write sprintf sprint_symbol srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister __srcu_read_lock __srcu_read_unlock sscanf __stack_chk_fail stack_depot_fetch start_backtrace static_key_disable static_key_slow_inc stop_machine stop_one_cpu stop_one_cpu_nowait stpcpy strcasecmp strcat strchr strchrnul strcmp strcpy strcspn strim strlcat strlcpy strlen strncasecmp strncat strnchr strncmp strncpy strncpy_from_user strnlen strnstr strpbrk strrchr strreplace strscpy strsep strstr submit_bio_wait __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu synchronize_srcu syscon_node_to_regmap syscon_regmap_lookup_by_phandle sysctl_sched_features sysctl_vals sysfs_add_file_to_group sysfs_add_link_to_group sysfs_create_bin_file sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_groups sysfs_create_link sysfs_emit sysfs_emit_at __sysfs_match_string sysfs_notify sysfs_remove_bin_file sysfs_remove_file_from_group sysfs_remove_file_ns sysfs_remove_files sysfs_remove_group sysfs_remove_groups sysfs_remove_link sysfs_remove_link_from_group sysfs_streq sysrq_mask system_32bit_el0_cpumask system_freezable_wq system_highpri_wq system_state system_unbound_wq system_wq sys_tz __tasklet_hi_schedule tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait tasklist_lock task_may_not_preempt __task_pid_nr_ns __task_rq_lock thermal_cooling_device_register thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_pressure thermal_zone_device_enable thermal_zone_device_register thermal_zone_device_unregister thermal_zone_device_update thermal_zone_get_temp thermal_zone_get_zone_by_name thermal_zone_of_sensor_register thermal_zone_of_sensor_unregister tick_nohz_get_sleep_length time64_to_tm timer_reduce topology_clear_scale_freq_source topology_set_thermal_pressure topology_update_done _totalram_pages trace_array_put __trace_bprintk trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_rvh_account_irq __traceiter_android_rvh_after_dequeue_task __traceiter_android_rvh_after_enqueue_task __traceiter_android_rvh_build_perf_domains __traceiter_android_rvh_can_migrate_task __traceiter_android_rvh_check_preempt_wakeup __traceiter_android_rvh_cpufreq_transition __traceiter_android_rvh_cpu_cgroup_attach __traceiter_android_rvh_cpu_cgroup_online __traceiter_android_rvh_find_busiest_queue __traceiter_android_rvh_find_lowest_rq __traceiter_android_rvh_is_cpu_allowed __traceiter_android_rvh_migrate_queued_task __traceiter_android_rvh_new_task_stats __traceiter_android_rvh_refrigerator __traceiter_android_rvh_replace_next_task_fair __traceiter_android_rvh_sched_cpu_dying __traceiter_android_rvh_sched_cpu_starting __traceiter_android_rvh_sched_exec __traceiter_android_rvh_sched_fork_init __traceiter_android_rvh_sched_newidle_balance __traceiter_android_rvh_sched_nohz_balancer_kick __traceiter_android_rvh_schedule __traceiter_android_rvh_select_task_rq_fair __traceiter_android_rvh_select_task_rq_rt __traceiter_android_rvh_set_task_cpu __traceiter_android_rvh_tick_entry __traceiter_android_rvh_try_to_wake_up __traceiter_android_rvh_try_to_wake_up_success __traceiter_android_rvh_update_cpu_capacity __traceiter_android_rvh_update_misfit_status __traceiter_android_rvh_wake_up_new_task __traceiter_android_vh_account_task_time __traceiter_android_vh_audio_usb_offload_connect __traceiter_android_vh_binder_restore_priority __traceiter_android_vh_binder_set_priority __traceiter_android_vh_binder_trans __traceiter_android_vh_cpu_idle_enter __traceiter_android_vh_cpu_idle_exit __traceiter_android_vh_dup_task_struct __traceiter_android_vh_ipi_stop __traceiter_android_vh_irqtime_account_process_tick __traceiter_android_vh_logbuf __traceiter_android_vh_logbuf_pr_cont __traceiter_android_vh_mmap_region __traceiter_android_vh_mmc_attach_sd __traceiter_android_vh_mmc_blk_mq_rw_recovery __traceiter_android_vh_mmc_blk_reset __traceiter_android_vh_mmc_gpio_cd_irqt __traceiter_android_vh_scheduler_tick __traceiter_android_vh_sdhci_get_cd __traceiter_android_vh_sd_update_bus_speed_mode __traceiter_android_vh_show_resume_epoch_val __traceiter_android_vh_show_suspend_epoch_val __traceiter_android_vh_shrink_slab_bypass __traceiter_android_vh_try_to_unmap_one __traceiter_android_vh_tune_scan_type __traceiter_android_vh_ufs_check_int_errors __traceiter_android_vh_ufs_clock_scaling __traceiter_android_vh_ufs_compl_command __traceiter_android_vh_ufs_prepare_command __traceiter_android_vh_ufs_send_command __traceiter_android_vh_ufs_send_tm_command __traceiter_android_vh_ufs_send_uic_command __traceiter_android_vh_ufs_update_sdev __traceiter_android_vh_update_topology_flags_workfn __traceiter_android_vh_vmpressure __traceiter_block_rq_insert __traceiter_cpu_frequency_limits __traceiter_dwc3_complete_trb __traceiter_dwc3_ctrl_req __traceiter_dwc3_event __traceiter_dwc3_readl __traceiter_dwc3_writel __traceiter_gpu_mem_total __traceiter_ipi_entry __traceiter_ipi_raise __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __traceiter_sched_overutilized_tp __traceiter_sched_switch __traceiter_suspend_resume __traceiter_usb_gadget_connect __traceiter_usb_gadget_disconnect __tracepoint_android_rvh_account_irq __tracepoint_android_rvh_after_dequeue_task __tracepoint_android_rvh_after_enqueue_task __tracepoint_android_rvh_audio_usb_offload_disconnect __tracepoint_android_rvh_build_perf_domains __tracepoint_android_rvh_can_migrate_task __tracepoint_android_rvh_check_preempt_wakeup __tracepoint_android_rvh_cpufreq_transition __tracepoint_android_rvh_cpu_cgroup_attach __tracepoint_android_rvh_cpu_cgroup_online __tracepoint_android_rvh_do_sched_yield __tracepoint_android_rvh_find_busiest_queue __tracepoint_android_rvh_find_lowest_rq __tracepoint_android_rvh_flush_task __tracepoint_android_rvh_get_nohz_timer_target __tracepoint_android_rvh_iommu_setup_dma_ops __tracepoint_android_rvh_is_cpu_allowed __tracepoint_android_rvh_migrate_queued_task __tracepoint_android_rvh_new_task_stats __tracepoint_android_rvh_refrigerator __tracepoint_android_rvh_replace_next_task_fair __tracepoint_android_rvh_rto_next_cpu __tracepoint_android_rvh_sched_cpu_dying __tracepoint_android_rvh_sched_cpu_starting __tracepoint_android_rvh_sched_exec __tracepoint_android_rvh_sched_fork_init __tracepoint_android_rvh_sched_newidle_balance __tracepoint_android_rvh_sched_nohz_balancer_kick __tracepoint_android_rvh_sched_setaffinity __tracepoint_android_rvh_schedule __tracepoint_android_rvh_select_task_rq_fair __tracepoint_android_rvh_select_task_rq_rt __tracepoint_android_rvh_set_balance_anon_file_reclaim __tracepoint_android_rvh_set_cpus_allowed_ptr_locked __tracepoint_android_rvh_set_gfp_zone_flags __tracepoint_android_rvh_set_readahead_gfp_mask __tracepoint_android_rvh_set_skip_swapcache_flags __tracepoint_android_rvh_set_task_cpu __tracepoint_android_rvh_show_max_freq __tracepoint_android_rvh_tick_entry __tracepoint_android_rvh_try_to_wake_up __tracepoint_android_rvh_try_to_wake_up_success __tracepoint_android_rvh_ttwu_cond __tracepoint_android_rvh_update_cpu_capacity __tracepoint_android_rvh_update_cpus_allowed __tracepoint_android_rvh_update_misfit_status __tracepoint_android_rvh_wake_up_new_task __tracepoint_android_vh_account_task_time __tracepoint_android_vh_audio_usb_offload_connect __tracepoint_android_vh_binder_restore_priority __tracepoint_android_vh_binder_set_priority __tracepoint_android_vh_binder_trans __tracepoint_android_vh_binder_wakeup_ilocked __tracepoint_android_vh_cpu_idle_enter __tracepoint_android_vh_cpu_idle_exit __tracepoint_android_vh_cpuidle_psci_enter __tracepoint_android_vh_cpuidle_psci_exit __tracepoint_android_vh_dup_task_struct __tracepoint_android_vh_ftrace_dump_buffer __tracepoint_android_vh_ftrace_format_check __tracepoint_android_vh_ftrace_oops_enter __tracepoint_android_vh_ftrace_oops_exit __tracepoint_android_vh_ftrace_size_check __tracepoint_android_vh_gic_resume __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_irqtime_account_process_tick __tracepoint_android_vh_jiffies_update __tracepoint_android_vh_logbuf __tracepoint_android_vh_logbuf_pr_cont __tracepoint_android_vh_mmap_region __tracepoint_android_vh_mmc_attach_sd __tracepoint_android_vh_mmc_blk_mq_rw_recovery __tracepoint_android_vh_mmc_blk_reset __tracepoint_android_vh_mmc_gpio_cd_irqt __tracepoint_android_vh_printk_hotplug __tracepoint_android_vh_rproc_recovery __tracepoint_android_vh_scheduler_tick __tracepoint_android_vh_sdhci_get_cd __tracepoint_android_vh_sd_update_bus_speed_mode __tracepoint_android_vh_show_resume_epoch_val __tracepoint_android_vh_show_suspend_epoch_val __tracepoint_android_vh_shrink_slab_bypass __tracepoint_android_vh_timer_calc_index __tracepoint_android_vh_try_to_unmap_one __tracepoint_android_vh_tune_scan_type __tracepoint_android_vh_ufs_check_int_errors __tracepoint_android_vh_ufs_clock_scaling __tracepoint_android_vh_ufs_compl_command __tracepoint_android_vh_ufs_prepare_command __tracepoint_android_vh_ufs_send_command __tracepoint_android_vh_ufs_send_tm_command __tracepoint_android_vh_ufs_send_uic_command __tracepoint_android_vh_ufs_update_sdev __tracepoint_android_vh_update_topology_flags_workfn __tracepoint_android_vh_vmpressure __tracepoint_binder_transaction_received __tracepoint_block_rq_insert __tracepoint_cpu_frequency_limits __tracepoint_dwc3_complete_trb __tracepoint_dwc3_ctrl_req __tracepoint_dwc3_event __tracepoint_dwc3_readl __tracepoint_dwc3_writel __tracepoint_gpu_mem_total __tracepoint_ipi_entry __tracepoint_ipi_raise __tracepoint_irq_handler_entry __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking tracepoint_probe_register tracepoint_probe_register_prio tracepoint_probe_unregister __tracepoint_rwmmio_read __tracepoint_rwmmio_write __tracepoint_sched_overutilized_tp __tracepoint_sched_switch __tracepoint_suspend_resume __tracepoint_usb_gadget_connect __tracepoint_usb_gadget_disconnect trace_print_array_seq trace_print_flags_seq trace_print_hex_seq trace_print_symbols_seq trace_raw_output_prep trace_seq_printf trace_seq_putc tracing_off try_module_get try_wait_for_completion tty_flip_buffer_push __tty_insert_flip_char tty_insert_flip_string_fixed_flag uart_add_one_port uart_get_baud_rate uart_insert_char uart_register_driver uart_remove_one_port uart_resume_port uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort uclamp_eff_value ucsi_connector_change ucsi_create ucsi_destroy ucsi_get_drvdata ucsi_register ucsi_send_command ucsi_set_drvdata ucsi_unregister __udelay ufshcd_auto_hibern8_update ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_fixup_dev_quirks ufshcd_get_local_unipro_ver ufshcd_hba_stop ufshcd_hold ufshcd_pltfrm_init ufshcd_pltfrm_shutdown ufshcd_query_attr ufshcd_query_descriptor_retry ufshcd_query_flag ufshcd_read_desc_param ufshcd_release ufshcd_remove ufshcd_resume_complete ufshcd_runtime_resume ufshcd_runtime_suspend ufshcd_suspend_prepare ufshcd_system_resume ufshcd_system_suspend ufshcd_uic_hibern8_enter ufshcd_uic_hibern8_exit __uio_register_device uio_unregister_device unlock_page unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_console unregister_die_notifier unregister_ftrace_export unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_kprobe unregister_kretprobe unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_netevent_notifier unregister_oom_notifier unregister_pm_notifier unregister_qdisc unregister_reboot_notifier unregister_restart_handler unregister_rpmsg_driver unregister_shrinker up update_devfreq update_rq_clock up_read up_write usb_add_phy_dev usb_alloc_coherent usb_assign_descriptors usb_bus_idr usb_bus_idr_lock usb_composite_setup_continue usb_debug_root usb_decode_ctrl usb_ep_alloc_request usb_ep_autoconfig usb_ep_dequeue usb_ep_disable usb_ep_enable usb_ep_free_request usb_ep_queue usb_ep_set_halt usb_free_all_descriptors usb_free_coherent usb_function_register usb_function_unregister usb_gadget_wakeup usb_ifnum_to_if usb_interface_id usb_mon_deregister usb_mon_register usb_phy_set_charger_current usb_put_function_instance usb_register_notify usb_remove_phy usb_role_switch_find_by_fwnode usb_role_switch_get_drvdata usb_role_switch_register usb_role_switch_set_role usb_role_switch_unregister usb_speed_string usb_string_id usb_unregister_notify __usecs_to_jiffies usleep_range_state uuid_parse v4l2_compat_ioctl32 v4l2_ctrl_find v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_request_complete v4l2_ctrl_request_setup v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev __v4l2_device_register_subdev_nodes v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_dequeue v4l2_event_pending v4l2_event_queue v4l2_event_queue_fh v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_open v4l2_fh_release v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_init v4l2_m2m_job_finish v4l2_m2m_register_media_controller v4l2_m2m_release v4l2_m2m_request_queue v4l2_m2m_unregister_media_controller v4l2_src_change_event_subscribe v4l2_subdev_call_wrappers v4l2_subdev_init vabits_actual vb2_buffer_done vb2_create_bufs vb2_dqbuf vb2_prepare_buf vb2_qbuf vb2_querybuf vb2_queue_init vb2_queue_release vb2_reqbufs vb2_request_validate vb2_streamoff vb2_streamon vchan_dma_desc_free_list vchan_find_desc vchan_init vchan_tx_desc_free vchan_tx_submit vfree video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 __video_register_device video_unregister_device vmalloc vmalloc_to_page vmalloc_to_pfn vmap vmemdup_user vmf_insert_mixed vmf_insert_pfn vm_get_page_prot vm_insert_page vm_iomap_memory vm_map_pages vm_node_stat vm_zone_stat vprintk vscnprintf vsnprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_killable wait_for_completion_timeout wait_woken __wake_up wake_up_if_idle __wake_up_locked wake_up_process wakeup_source_add wakeup_source_register wakeup_source_remove wakeup_source_unregister __warn_printk wireless_send_event woken_wake_function work_busy ww_mutex_lock ww_mutex_unlock __xa_alloc __xa_alloc_cyclic xa_destroy xa_erase xa_find xa_find_after __xa_insert xa_load xa_store xfrm_lookup xhci_alloc_command xhci_alloc_erst xhci_free_command xhci_get_endpoint_index xhci_queue_stop_endpoint xhci_ring_alloc xhci_ring_cmd_db xhci_ring_free xhci_trb_virt_to_dma [abi_symbol_list] # commonly used symbols # required by touch module proc_mkdir_data proc_create_seq_private power_supply_is_system_supplied # required by aw8697-haptic.ko devm_gpio_free i2c_smbus_read_byte_data i2c_smbus_write_byte_data #required by memory module blk_execute_rq blk_rq_map_kern scsi_device_lookup scsi_host_lookup scsi_host_put ufshcd_read_desc_param utf16s_to_utf8s async_schedule_node blk_ksm_register blk_ksm_reprogram_all_keys blk_mq_alloc_tag_set blk_mq_init_queue blk_mq_tagset_busy_iter bsg_job_done bsg_remove_queue bsg_setup_queue dev_pm_opp_remove scsi_add_host_with_dma scsi_block_requests scsi_dma_unmap scsi_is_host_device scsi_remove_host scsi_report_bus_reset scsi_scan_host scsi_unblock_requests scsi_change_queue_depth scsi_print_command scsi_dma_map scsi_host_alloc scsi_normalize_sense sg_copy_from_buffer sg_copy_to_buffer ufshcd_alloc_host ufshcd_config_pwr_mode ufshcd_dealloc_host ufshcd_hba_enable ufshcd_make_hba_operational ufshcd_map_desc_id_to_length ufshcd_query_attr_retry ufshcd_query_flag_retry ufshcd_update_evt_hist wait_for_completion_io_timeout __scsi_add_device __scsi_execute blk_mq_free_tag_set blk_queue_update_dma_alignment blk_queue_update_dma_pad blk_ksm_get_slot_idx mempool_resize mempool_alloc_pages mempool_free_pages blk_pm_runtime_init scsi_remove_device kobject_get __traceiter_android_vh_ufs_update_sdev __tracepoint_android_vh_ufs_mcq_handler __tracepoint_android_vh_ufs_mcq_print_trs __tracepoint_android_vh_ufs_mcq_config __tracepoint_android_vh_ufs_mcq_max_tag __tracepoint_android_vh_ufs_mcq_hba_capabilities __tracepoint_android_vh_ufs_mcq_clear_pending __tracepoint_android_vh_ufs_mcq_abort __tracepoint_android_vh_ufs_mcq_map_tag __tracepoint_android_vh_ufs_mcq_make_hba_operational __tracepoint_android_vh_ufs_use_mcq_hooks __tracepoint_android_vh_ufs_mcq_get_outstanding_reqs __tracepoint_android_vh_ufs_mcq_clear_cmd __tracepoint_android_vh_ufs_mcq_send_command __tracepoint_android_vh_ufs_mcq_set_sqid __tracepoint_android_vh_ufs_mcq_has_oustanding_reqs __traceiter_android_vh_ufs_mcq_handler __traceiter_android_vh_ufs_mcq_print_trs __traceiter_android_vh_ufs_mcq_config __traceiter_android_vh_ufs_mcq_max_tag __traceiter_android_vh_ufs_mcq_hba_capabilities __traceiter_android_vh_ufs_mcq_clear_pending __traceiter_android_vh_ufs_mcq_abort __traceiter_android_vh_ufs_mcq_map_tag __traceiter_android_vh_ufs_mcq_make_hba_operational __traceiter_android_vh_ufs_use_mcq_hooks __traceiter_android_vh_ufs_mcq_get_outstanding_reqs __traceiter_android_vh_ufs_mcq_clear_cmd __traceiter_android_vh_ufs_mcq_send_command __traceiter_android_vh_ufs_mcq_set_sqid __traceiter_android_vh_ufs_mcq_has_oustanding_reqs #required by cs35l41 module regmap_raw_write_async snd_soc_bytes_tlv_callback regmap_async_complete snd_compr_stop_error snd_soc_component_disable_pin snd_soc_component_force_enable_pin snd_pcm_format_physical_width snd_pcm_hw_constraint_list regmap_multi_reg_write_bypassed snd_ctl_boolean_mono_info snd_soc_put_volsw_range snd_soc_get_volsw_range snd_soc_info_volsw_range regmap_raw_write regcache_drop_region regmap_raw_read regmap_multi_reg_write regulator_bulk_enable #required by mtd module __blk_mq_end_request balance_dirty_pages_ratelimited bdi_alloc bdi_put bdi_register blk_mq_freeze_queue blk_mq_quiesce_queue blk_mq_start_request blk_mq_unfreeze_queue blk_mq_unquiesce_queue blk_queue_write_cache blk_update_request blkdev_get_by_dev blkdev_get_by_path blkdev_put deactivate_locked_super fixed_size_llseek generic_shutdown_super kmsg_dump_get_buffer kmsg_dump_register kmsg_dump_rewind kmsg_dump_unregister ktime_get_coarse_real_ts64 lockref_get logfc lookup_bdev name_to_dev_t nvmem_register nvmem_unregister proc_create_single_data read_cache_page rq_flush_dcache_pages set_disk_ro set_page_dirty sget_fc simple_strtoul sync_blockdev wait_for_device_probe blk_mq_alloc_sq_tag_set #required by millet.ko __traceiter_android_vh_binder_wait_for_work __tracepoint_android_vh_binder_wait_for_work __traceiter_android_vh_do_send_sig_info __traceiter_android_vh_binder_preset __traceiter_android_vh_binder_trans __traceiter_android_vh_binder_reply __traceiter_android_vh_binder_alloc_new_buf_locked __tracepoint_android_vh_do_send_sig_info __tracepoint_android_vh_binder_preset __tracepoint_android_vh_binder_trans __tracepoint_android_vh_binder_reply __tracepoint_android_vh_binder_alloc_new_buf_locked freezer_cgrp_subsys #required by mi_sched.ko __traceiter_android_vh_free_task __tracepoint_android_vh_free_task __traceiter_android_vh_scheduler_tick __tracepoint_android_vh_scheduler_tick jiffies_64 free_uid find_user #required by migt.ko __traceiter_android_rvh_after_enqueue_task __traceiter_android_rvh_after_dequeue_task __traceiter_android_vh_map_util_freq __tracepoint_android_rvh_after_enqueue_task __tracepoint_android_rvh_after_dequeue_task __tracepoint_android_vh_map_util_freq __traceiter_android_vh_map_util_freq_new __tracepoint_android_vh_map_util_freq_new #required by turbo.ko #required by fas.ko __traceiter_android_rvh_check_preempt_tick __traceiter_android_rvh_dequeue_entity __traceiter_android_rvh_enqueue_entity __tracepoint_android_rvh_check_preempt_tick __tracepoint_android_rvh_dequeue_entity __tracepoint_android_rvh_enqueue_entity #required by pm8941-pwrkey.ko module console_printk console_verbose #required by binderinfo.ko module __traceiter_android_vh_binder_transaction_init __tracepoint_android_vh_binder_transaction_init __traceiter_android_vh_binder_print_transaction_info __tracepoint_android_vh_binder_print_transaction_info __traceiter_binder_txn_latency_free __tracepoint_binder_txn_latency_free #required by reclaim module __traceiter_android_vh_tune_scan_type __tracepoint_android_vh_tune_scan_type __traceiter_android_vh_tune_swappiness __tracepoint_android_vh_tune_swappiness #required by msm_drm.ko module drm_get_connector_type_name #required by mi_gamekey.ko module gpio_request_array #required by xm_power_debug.ko module wakeup_sources_read_lock wakeup_sources_read_unlock wakeup_sources_walk_start wakeup_sources_walk_next #required by swinfo.ko module proc_set_size #required by msm_rtb.ko module __tracepoint_rwmmio_read __traceiter_rwmmio_read __tracepoint_rwmmio_write __traceiter_rwmmio_write __tracepoint_irq_handler_entry __traceiter_irq_handler_entry #required by ax88796b.ko module phy_resolve_aneg_linkmode #required by metis.ko module __traceiter_android_vh_rwsem_read_wait_start __traceiter_android_vh_rwsem_write_wait_start __traceiter_android_vh_mutex_wait_start __traceiter_android_vh_alter_mutex_list_add __traceiter_android_rvh_cpuset_fork __traceiter_android_vh_sched_setaffinity_early __traceiter_android_rvh_set_cpus_allowed_comm __traceiter_android_rvh_dequeue_task __tracepoint_android_vh_rwsem_read_wait_start __tracepoint_android_vh_rwsem_write_wait_start __tracepoint_android_vh_mutex_wait_start __tracepoint_android_vh_alter_mutex_list_add __tracepoint_android_rvh_cpuset_fork __tracepoint_android_vh_sched_setaffinity_early __tracepoint_android_rvh_set_cpus_allowed_comm __tracepoint_android_rvh_dequeue_task cpuset_cpus_allowed #required by perf_helper.ko try_to_free_mem_cgroup_pages #required by debug_ext.ko of_find_all_nodes #required by mi_freqwdg.ko __traceiter_android_vh_freq_qos_remove_request __traceiter_android_vh_freq_qos_update_request __traceiter_android_vh_freq_qos_add_request __traceiter_android_rvh_entity_tick __traceiter_android_rvh_dequeue_task_fair __tracepoint_android_vh_freq_qos_remove_request __tracepoint_android_vh_freq_qos_update_request __tracepoint_android_vh_freq_qos_add_request __tracepoint_android_rvh_dequeue_task_fair __tracepoint_android_rvh_entity_tick #required by binder_prio module __traceiter_android_vh_binder_priority_skip __tracepoint_android_vh_binder_priority_skip #required by mi_mempool.ko module __traceiter_android_vh_mmput __tracepoint_android_vh_mmput __traceiter_android_vh_alloc_pages_reclaim_bypass __tracepoint_android_vh_alloc_pages_reclaim_bypass __traceiter_android_vh_alloc_pages_failure_bypass __tracepoint_android_vh_alloc_pages_failure_bypass [abi_symbol_list] address_space_init_once add_timer alloc_anon_inode __alloc_pages __alloc_percpu alloc_workqueue android_debug_symbol arm64_const_caps_ready autoremove_wake_function bcmp bio_add_page bio_alloc_bioset bio_associate_blkg bio_chain __bio_crypt_clone bio_crypt_set_ctx bio_endio bio_end_io_acct_remapped bio_init bio_put bio_start_io_acct __blk_alloc_disk blk_cleanup_disk blk_cleanup_queue blkdev_get_by_dev blkdev_get_by_path __blkdev_issue_discard blkdev_issue_flush blkdev_put blk_finish_plug blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_hw_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_queue_write_cache blk_start_plug blk_status_to_errno call_rcu capable cgroup_add_legacy_cftypes __class_register class_unregister __ClearPageMovable complete congestion_wait contig_page_data copy_from_kernel_nofault cpufreq_update_util_data __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpumask_next __cpu_online_mask __cpu_possible_mask crypto_alloc_base crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg debugfs_create_dir debugfs_create_file dec_zone_page_state default_llseek delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue device_add_disk devres_destroy disk_end_io_acct disk_start_io_acct do_traversal_all_lruvec down downgrade_write down_read down_write d_path dput dump_stack eventfd_ctx_fileget eventfd_signal file_path filp_close filp_open_block find_task_by_vpid finish_wait flush_dcache_page flush_work fput __free_pages free_pages free_percpu fs_bio_set fsync_bdev genlmsg_put genl_register_family genl_unregister_family get_each_dmabuf get_each_object_track __get_free_pages get_random_bytes get_slabinfo get_task_mm get_user_pages_remote get_wchan idr_alloc idr_destroy idr_find idr_for_each idr_remove inc_zone_page_state in_egroup_p __inet6_lookup_established inet_csk_get_port __inet_lookup_established init_net init_on_free init_pseudo __init_rwsem init_task init_timer_key init_user_ns init_wait_entry __init_waitqueue_head io_schedule ip6_local_out ip6_route_me_harder ip_local_deliver ip_local_out ip_route_me_harder iput ipv6_find_hdr ipv6_skip_exthdr is_dma_buf_file iterate_fd jiffies jiffies_64 jiffies_to_msecs kasan_flag_enabled kasprintf kern_mount kern_unmount kfree kfree_skb kill_anon_super kmalloc_caches kmem_cache_alloc kmem_cache_alloc_bulk kmem_cache_alloc_trace kmem_cache_create kmem_cache_create_usercopy kmem_cache_destroy kmem_cache_free kmem_cache_free_bulk kmem_cache_shrink kobject_create_and_add kobject_put ksize kstrdup kstrtobool kstrtoint kstrtoint_from_user kstrtou16 kstrtouint kstrtouint_from_user kstrtoull kswapd kthread_create_on_node kthread_should_stop kthread_stop ktime_get ktime_get_coarse_real_ts64 ktime_get_raw_ts64 ktime_get_real_ts64 kvfree __list_add_valid __list_del_entry_valid __lock_page match_string mem_cgroup_from_id memchr_inv memcmp memcpy memmove memory_cgrp_subsys memory_cgrp_subsys_enabled_key memparse memset memset64 memstart_addr migrate_page_copy misc_deregister misc_register mmput mod_node_page_state mod_timer module_layout msleep __mutex_init mutex_is_locked mutex_lock mutex_trylock mutex_unlock nf_ct_attach nf_ct_delete nf_register_net_hooks nf_unregister_net_hooks nla_find nla_memcpy __nla_parse nla_put nla_put_64bit nla_reserve nla_reserve_64bit nonseekable_open nr_cpu_ids __num_online_cpus of_css page_endio __page_file_index __page_mapcount page_mapping param_ops_uint __per_cpu_offset platform_device_add platform_device_alloc platform_device_put platform_driver_unregister preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event prepare_to_wait_exclusive print_hex_dump _printk proc_create proc_create_data proc_mkdir proc_remove public_key_verify_signature put_disk __put_page __put_task_struct queue_delayed_work_on queue_work_on radix_tree_delete radix_tree_delete_item radix_tree_insert radix_tree_lookup radix_tree_lookup_slot radix_tree_next_chunk radix_tree_preload radix_tree_replace_slot _raw_read_lock _raw_read_unlock _raw_spin_lock _raw_spin_lock_irqsave _raw_spin_unlock _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_unlock __rcu_read_lock __rcu_read_unlock reclaim_pages __register_blkdev register_memory_notifier register_reboot_notifier register_shrinker register_sysctl_table register_tcf_proto_ops remove_proc_subtree sched_clock sched_setscheduler_nocheck sched_show_task schedule schedule_timeout scnprintf sdio_writeb_readb seq_lseek seq_open __seq_open_private seq_open_private seq_printf seq_putc seq_puts seq_read seq_release seq_release_private seq_write set_blocksize set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_freezable set_page_dirty __SetPageMovable set_user_nice shrink_slab si_mem_available simple_open simple_read_from_buffer single_open single_release si_swapinfo __skb_checksum_complete skb_dump snprintf sort sprintf sscanf __stack_chk_fail stack_depot_fetch stack_depot_save stack_trace_save strcmp strcpy strlcpy strlen strncmp strncpy strnlen strsep strstr submit_bio submit_bio_wait sysfs_create_files __sysfs_match_string sysfs_remove_files sysfs_streq system_freezable_wq system_unbound_wq system_wq sys_tz task_active_pid_ns tcf_action_exec tcf_exts_destroy tcf_exts_dump tcf_exts_dump_stats tcf_exts_validate tcf_queue_work tcp_hashinfo time64_to_tm timespec64_to_jiffies __traceiter_android_rvh_check_preempt_wakeup_ignore __traceiter_android_rvh_do_serror __traceiter_android_rvh_pick_next_entity __traceiter_android_rvh_place_entity __traceiter_android_rvh_schedule __traceiter_android_rvh_set_balance_anon_file_reclaim __traceiter_android_rvh_set_user_nice __traceiter_android_vh_account_task_time __traceiter_android_vh_add_page_to_lrulist __traceiter_android_vh_alloc_pages_slowpath __traceiter_android_vh_binder_del_ref __traceiter_android_vh_binder_free_proc __traceiter_android_vh_binder_has_work_ilocked __traceiter_android_vh_binder_looper_state_registered __traceiter_android_vh_binder_new_ref __traceiter_android_vh_binder_preset __traceiter_android_vh_binder_priority_skip __traceiter_android_vh_binder_proc_transaction __traceiter_android_vh_binder_proc_transaction_entry __traceiter_android_vh_binder_proc_transaction_finish __traceiter_android_vh_binder_read_done __traceiter_android_vh_binder_select_worklist_ilocked __traceiter_android_vh_binder_thread_read __traceiter_android_vh_binder_thread_release __traceiter_android_vh_build_sched_domains __traceiter_android_vh_cache_show __traceiter_android_vh_check_uninterruptible_tasks_dn __traceiter_android_vh_cma_drain_all_pages_bypass __traceiter_android_vh_cpufreq_acct_update_power __traceiter_android_vh_del_page_from_lrulist __traceiter_android_vh_do_futex __traceiter_android_vh_do_page_trylock __traceiter_android_vh_do_traversal_lruvec __traceiter_android_vh_drain_all_pages_bypass __traceiter_android_vh_dup_task_struct __traceiter_android_vh_exit_mm __traceiter_android_vh_exit_signal __traceiter_android_vh_free_task __traceiter_android_vh_futex_sleep_start __traceiter_android_vh_futex_wait_end __traceiter_android_vh_futex_wait_start __traceiter_android_vh_futex_wake_this __traceiter_android_vh_futex_wake_traverse_plist __traceiter_android_vh_futex_wake_up_q_finish __traceiter_android_vh_handle_failed_page_trylock __traceiter_android_vh_irqtime_account_process_tick __traceiter_android_vh_killed_process __traceiter_android_vh_kmalloc_slab __traceiter_android_vh_mark_page_accessed __traceiter_android_vh_mem_cgroup_alloc __traceiter_android_vh_mem_cgroup_css_offline __traceiter_android_vh_mem_cgroup_css_online __traceiter_android_vh_mem_cgroup_free __traceiter_android_vh_mem_cgroup_id_remove __traceiter_android_vh_meminfo_proc_show __traceiter_android_vh_modify_thermal_cpu_get_power __traceiter_android_vh_mutex_init __traceiter_android_vh_page_referenced_check_bypass __traceiter_android_vh_page_should_be_protected __traceiter_android_vh_page_trylock_clear __traceiter_android_vh_page_trylock_get_result __traceiter_android_vh_page_trylock_set __traceiter_android_vh_pcplist_add_cma_pages_bypass __traceiter_android_vh_print_slabinfo_header __traceiter_android_vh_record_mutex_lock_starttime __traceiter_android_vh_record_pcpu_rwsem_starttime __traceiter_android_vh_record_rtmutex_lock_starttime __traceiter_android_vh_record_rwsem_lock_starttime __traceiter_android_vh_rmqueue __traceiter_android_vh_rwsem_wake_finish __traceiter_android_vh_save_track_hash __traceiter_android_vh_sched_show_task __traceiter_android_vh_sched_stat_runtime_rt __traceiter_android_vh_show_mapcount_pages __traceiter_android_vh_sync_txn_recvd __traceiter_android_vh_tune_inactive_ratio __traceiter_android_vh_tune_scan_type __traceiter_android_vh_tune_swappiness __traceiter_android_vh_update_page_mapcount __traceiter_sched_stat_blocked __traceiter_sched_stat_iowait __traceiter_sched_stat_runtime __traceiter_sched_stat_sleep __traceiter_sched_stat_wait __traceiter_sched_waking __traceiter_task_rename __tracepoint_android_rvh_check_preempt_wakeup_ignore __tracepoint_android_rvh_do_serror __tracepoint_android_rvh_pick_next_entity __tracepoint_android_rvh_place_entity __tracepoint_android_rvh_schedule __tracepoint_android_rvh_set_balance_anon_file_reclaim __tracepoint_android_rvh_set_user_nice __tracepoint_android_vh_account_task_time __tracepoint_android_vh_add_page_to_lrulist __tracepoint_android_vh_alloc_pages_slowpath __tracepoint_android_vh_binder_del_ref __tracepoint_android_vh_binder_free_proc __tracepoint_android_vh_binder_has_work_ilocked __tracepoint_android_vh_binder_looper_state_registered __tracepoint_android_vh_binder_new_ref __tracepoint_android_vh_binder_preset __tracepoint_android_vh_binder_priority_skip __tracepoint_android_vh_binder_proc_transaction __tracepoint_android_vh_binder_proc_transaction_entry __tracepoint_android_vh_binder_proc_transaction_finish __tracepoint_android_vh_binder_read_done __tracepoint_android_vh_binder_select_worklist_ilocked __tracepoint_android_vh_binder_thread_read __tracepoint_android_vh_binder_thread_release __tracepoint_android_vh_build_sched_domains __tracepoint_android_vh_cache_show __tracepoint_android_vh_cma_drain_all_pages_bypass __tracepoint_android_vh_cpufreq_acct_update_power __tracepoint_android_vh_del_page_from_lrulist __tracepoint_android_vh_do_futex __tracepoint_android_vh_do_page_trylock __tracepoint_android_vh_do_traversal_lruvec __tracepoint_android_vh_drain_all_pages_bypass __tracepoint_android_vh_dup_task_struct __tracepoint_android_vh_exit_mm __tracepoint_android_vh_exit_signal __tracepoint_android_vh_free_task __tracepoint_android_vh_futex_sleep_start __tracepoint_android_vh_futex_wait_end __tracepoint_android_vh_futex_wait_start __tracepoint_android_vh_futex_wake_this __tracepoint_android_vh_futex_wake_traverse_plist __tracepoint_android_vh_futex_wake_up_q_finish __tracepoint_android_vh_handle_failed_page_trylock __tracepoint_android_vh_irqtime_account_process_tick __tracepoint_android_vh_killed_process __tracepoint_android_vh_kmalloc_slab __tracepoint_android_vh_mark_page_accessed __tracepoint_android_vh_mem_cgroup_alloc __tracepoint_android_vh_mem_cgroup_css_offline __tracepoint_android_vh_mem_cgroup_css_online __tracepoint_android_vh_mem_cgroup_free __tracepoint_android_vh_mem_cgroup_id_remove __tracepoint_android_vh_meminfo_proc_show __tracepoint_android_vh_modify_thermal_cpu_get_power __tracepoint_android_vh_mutex_init __tracepoint_android_vh_page_referenced_check_bypass __tracepoint_android_vh_page_should_be_protected __tracepoint_android_vh_page_trylock_clear __tracepoint_android_vh_page_trylock_get_result __tracepoint_android_vh_page_trylock_set __tracepoint_android_vh_pcplist_add_cma_pages_bypass __tracepoint_android_vh_print_slabinfo_header __tracepoint_android_vh_record_mutex_lock_starttime __tracepoint_android_vh_record_pcpu_rwsem_starttime __tracepoint_android_vh_record_rtmutex_lock_starttime __tracepoint_android_vh_record_rwsem_lock_starttime __tracepoint_android_vh_rmqueue __tracepoint_android_vh_rwsem_wake_finish __tracepoint_android_vh_sched_show_task __tracepoint_android_vh_save_track_hash __tracepoint_android_vh_sched_stat_runtime_rt __tracepoint_android_vh_show_mapcount_pages __tracepoint_android_vh_sync_txn_recvd __tracepoint_android_vh_tune_inactive_ratio __tracepoint_android_vh_tune_scan_type __tracepoint_android_vh_tune_swappiness __tracepoint_android_vh_update_page_mapcount __tracepoint_net_dev_queue __tracepoint_net_dev_xmit __tracepoint_netif_receive_skb __tracepoint_netif_rx __tracepoint_sched_stat_blocked __tracepoint_sched_stat_iowait __tracepoint_sched_stat_runtime __tracepoint_sched_stat_sleep __tracepoint_sched_stat_wait __tracepoint_sched_waking __tracepoint_task_rename try_to_free_mem_cgroup_pages __ubsan_handle_cfi_check_fail_abort unlock_page unregister_blkdev unregister_memory_notifier unregister_net_sysctl_table unregister_reboot_notifier unregister_shrinker unregister_sysctl_table unregister_tcf_proto_ops up up_read up_write vfree vmalloc vmalloc_nr_pages vsnprintf vzalloc wait_for_completion_io_timeout __wake_up wake_up_process wq_worker_comm [abi_symbol_list] add_cpu add_timer add_uevent_var adjust_managed_page_count alloc_anon_inode alloc_chrdev_region alloc_etherdev_mqs alloc_netdev_mqs __alloc_pages __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_workqueue anon_inode_getfd anon_inode_getfile arc4_crypt arc4_setkey __arch_clear_user __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_smc atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister backlight_device_register backlight_device_unregister balance_dirty_pages_ratelimited bcmp bio_add_page bio_alloc_bioset bio_associate_blkg bio_chain bio_endio bio_end_io_acct_remapped bio_put bio_start_io_acct __bitmap_and __bitmap_andnot __bitmap_clear bitmap_free __bitmap_or __bitmap_set __bitmap_shift_left __bitmap_shift_right __bitmap_weight __bitmap_xor bitmap_zalloc __blk_alloc_disk blk_cleanup_disk blkdev_issue_discard blk_finish_plug blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_start_plug __blockdev_direct_IO blockdev_superblock blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister block_truncate_page block_write_begin block_write_end block_write_full_page bpf_dispatcher_xdp_func bpf_master_redirect_enabled_key bpf_prog_put bpf_stats_enabled_key bpf_trace_run10 bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_warn_invalid_xdp_action __bread_gfp __brelse bus_register_notifier bus_unregister_notifier cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init cec_notifier_set_phys_addr cec_notifier_set_phys_addr_from_edid __cfi_slowpath_diag __check_object_size __class_create class_create_file_ns class_destroy class_find_device __class_register class_remove_file_ns class_unregister clean_bdev_aliases clear_inode clear_nlink clear_page __ClearPageMovable clk_disable clk_divider_ops clk_enable clk_fixed_factor_ops clk_gate_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_get_sys clk_hw_get_flags clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_round_rate clk_hw_set_parent __clk_is_enabled clk_mux_determine_rate_flags clk_mux_index_to_val clk_mux_ops clk_mux_ro_ops clk_mux_val_to_index clk_notifier_register clk_notifier_unregister clk_prepare clk_put clk_register clk_register_composite clk_round_rate clk_set_parent clk_set_rate clk_unprepare cma_alloc cma_for_each_area cma_get_name cma_release compat_ptr_ioctl complete complete_all complete_and_exit completion_done component_add component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_unbind_all console_printk __const_udelay consume_skb contig_page_data copy_page_from_iter_atomic cpu_all_bits cpu_bit_bitmap cpufreq_boost_enabled cpufreq_generic_attr cpufreq_generic_frequency_table_verify cpufreq_generic_suspend cpufreq_get_policy cpufreq_register_driver cpufreq_unregister_driver cpu_have_feature cpu_hotplug_disable cpu_hotplug_enable __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpumask_next cpumask_next_and cpu_number __cpu_online_mask __cpu_possible_mask cpus_read_lock cpus_read_unlock cpu_subsys cpu_topology crc32_be crc32_le create_empty_buffers crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_ahash_digest crypto_ahash_setkey crypto_alloc_aead crypto_alloc_ahash crypto_alloc_base crypto_alloc_shash crypto_alloc_skcipher crypto_cipher_encrypt_one crypto_cipher_setkey crypto_comp_compress crypto_comp_decompress crypto_dequeue_request crypto_destroy_tfm crypto_enqueue_request crypto_has_alg crypto_init_queue __crypto_memneq crypto_register_ahash crypto_register_shash crypto_register_skcipher crypto_sha1_finup crypto_sha1_update crypto_shash_digest crypto_shash_final crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey crypto_unregister_ahash crypto_unregister_shash crypto_unregister_skcipher __crypto_xor _ctype current_time current_umask debugfs_attr_read debugfs_attr_write debugfs_create_blob debugfs_create_bool debugfs_create_dir debugfs_create_file debugfs_create_u32 debugfs_create_u64 debugfs_remove debugfs_rename dec_zone_page_state default_llseek delayed_work_timer_fn del_gendisk del_timer del_timer_sync dentry_path_raw des_expand_key destroy_workqueue dev_alloc_name __dev_change_net_namespace dev_close dev_driver_string _dev_err dev_err_probe dev_fetch_sw_netstats dev_fwnode __dev_get_by_index dev_get_by_index dev_get_regmap device_add device_add_disk device_create device_create_file device_create_managed_software_node device_del device_destroy device_for_each_child device_get_child_node_count device_get_match_data device_get_phy_mode device_initialize device_init_wakeup device_link_add device_link_del device_property_present device_property_read_u32_array device_register device_remove_file device_rename __device_reset device_set_wakeup_capable device_set_wakeup_enable device_unregister _dev_info __dev_kfree_skb_any devm_add_action devm_alloc_etherdev_mqs devm_clk_get devm_clk_get_optional devm_clk_hw_register devm_clk_hw_register_clkdev devm_clk_put devm_clk_register devm_extcon_dev_allocate devm_free_irq devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_optional devm_gpiod_put devm_gpio_request devm_gpio_request_one devm_hwrng_register devm_iio_channel_get devm_iio_device_alloc devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kstrdup devm_kvasprintf devm_nvmem_cell_get devm_of_clk_add_hw_provider devm_of_pwm_get devm_phy_get devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_platform_ioremap_resource devm_platform_ioremap_resource_byname devm_pwm_get devm_regmap_field_alloc __devm_regmap_init_mmio_clk devm_regulator_get devm_regulator_get_optional devm_regulator_put devm_regulator_register __devm_release_region __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_reset_controller_register devm_rtc_allocate_device __devm_rtc_register_device devm_snd_soc_register_card devm_snd_soc_register_component devm_spi_register_controller devm_thermal_zone_of_sensor_register devm_usb_get_phy devm_usb_get_phy_by_phandle devm_watchdog_register_device _dev_notice dev_open dev_pm_clear_wake_irq dev_pm_domain_attach_by_id dev_pm_domain_attach_by_name dev_pm_domain_detach dev_pm_opp_find_freq_ceil dev_pm_opp_free_cpufreq_table dev_pm_opp_get_opp_count dev_pm_opp_get_opp_table dev_pm_opp_get_voltage dev_pm_opp_init_cpufreq_table dev_pm_opp_of_add_table dev_pm_opp_of_add_table_indexed dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_opp_table dev_pm_opp_put_regulators dev_pm_opp_set_regulators dev_pm_set_wake_irq _dev_printk dev_queue_xmit devres_add __devres_alloc_node devres_free dev_set_name _dev_warn d_find_alias d_instantiate disable_irq disable_irq_nosync discard_new_inode disk_end_io_acct disk_start_io_acct divider_get_val divider_recalc_rate divider_ro_round_rate_parent divider_round_rate_parent dma_alloc_attrs dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_contiguous_default_area dma_fence_add_callback dma_fence_context_alloc dma_fence_default_wait dma_fence_free dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_wait_timeout dma_free_attrs dma_heap_add dma_heap_buffer_alloc dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name d_make_root dmam_alloc_attrs dma_map_page_attrs dma_map_sg_attrs dma_map_sgtable dmam_free_coherent dma_resv_add_excl_fence dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs d_obtain_alias do_SAK do_trace_netlink_extack down downgrade_write down_interruptible down_read down_timeout down_trylock down_write down_write_trylock dput dql_completed dql_reset driver_unregister drm_add_modes_noedid drm_atomic_add_affected_connectors drm_atomic_commit drm_atomic_get_connector_state drm_atomic_get_crtc_state drm_atomic_get_new_connector_for_encoder drm_atomic_get_plane_state drm_atomic_get_private_obj_state drm_atomic_helper_async_commit drm_atomic_helper_check drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_cleanup_done drm_atomic_helper_commit_tail drm_atomic_helper_commit_tail_rpm __drm_atomic_helper_connector_destroy_state drm_atomic_helper_connector_destroy_state __drm_atomic_helper_connector_duplicate_state drm_atomic_helper_connector_duplicate_state __drm_atomic_helper_connector_reset drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state drm_atomic_helper_disable_plane drm_atomic_helper_page_flip __drm_atomic_helper_plane_destroy_state __drm_atomic_helper_plane_duplicate_state __drm_atomic_helper_plane_reset drm_atomic_helper_prepare_planes __drm_atomic_helper_private_obj_duplicate_state drm_atomic_helper_resume drm_atomic_helper_set_config drm_atomic_helper_suspend drm_atomic_helper_swap_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_dependencies drm_atomic_helper_wait_for_fences drm_atomic_private_obj_init drm_atomic_set_crtc_for_connector drm_atomic_set_crtc_for_plane drm_atomic_set_fb_for_plane drm_atomic_set_mode_for_crtc drm_atomic_set_mode_prop_for_crtc drm_atomic_state_alloc __drm_atomic_state_free drm_compat_ioctl drm_connector_attach_content_protection_property drm_connector_attach_content_type_property drm_connector_attach_encoder drm_connector_attach_max_bpc_property drm_connector_attach_vrr_capable_property drm_connector_cleanup drm_connector_init drm_connector_list_iter_begin drm_connector_list_iter_next drm_connector_set_vrr_capable_property drm_connector_unregister drm_connector_update_edid_property drm_crtc_accurate_vblank_count drm_crtc_add_crc_entry drm_crtc_cleanup __drm_crtc_commit_free drm_crtc_enable_color_mgmt drm_crtc_from_index drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_helper_get_vblank_timestamp drm_crtc_vblank_off drm_crtc_vblank_on __drm_dbg drm_debugfs_create_files drm_dev_alloc drm_dev_put drm_dev_register drm_dev_unregister drm_encoder_cleanup drm_encoder_init __drm_err drm_format_info drm_framebuffer_cleanup drm_framebuffer_init drm_gem_cma_vm_ops drm_gem_create_mmap_offset drm_gem_free_mmap_offset drm_gem_handle_create drm_gem_handle_delete drm_gem_mmap drm_gem_object_free drm_gem_object_init drm_gem_object_lookup drm_gem_object_release drm_gem_prime_export drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_prime_import drm_gem_prime_mmap drm_gem_vm_close drm_hdcp_update_content_protection drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drmm_mode_config_init drm_mode_config_cleanup drm_mode_config_reset drm_mode_create drm_mode_crtc_set_gamma_size drm_mode_debug_printmodeline drm_mode_duplicate drm_mode_get_hv_timing drm_mode_object_find drm_mode_object_put drm_mode_probed_add drm_mode_set_crtcinfo drm_modeset_lock drm_modeset_lock_all drm_modeset_unlock drm_modeset_unlock_all drm_mode_vrefresh drm_object_attach_property drm_open drm_plane_cleanup drm_plane_create_alpha_property drm_plane_create_blend_mode_property drm_plane_create_rotation_property drm_plane_create_zpos_property drm_poll drm_prime_gem_destroy drm_printf drm_property_add_enum drm_property_blob_get drm_property_blob_put drm_property_create drm_property_create_bool drm_property_create_enum drm_property_create_range drm_property_destroy drm_read drm_release drm_self_refresh_helper_update_avg_times drm_universal_plane_init drm_vblank_init drm_writeback_connector_init drm_writeback_queue_job drm_writeback_signal_completion drop_nlink d_splice_alias dump_stack dw_pcie_find_capability dw_pcie_host_deinit dw_pcie_host_init dw_pcie_own_conf_map_bus dw_pcie_read_dbi dw_pcie_setup_rc dw_pcie_wait_for_link dw_pcie_write_dbi enable_irq end_buffer_read_sync ether_setup eth_header eth_header_parse eth_mac_addr ethtool_convert_legacy_u32_to_link_mode ethtool_convert_link_mode_to_legacy_u32 ethtool_op_get_link ethtool_op_get_ts_info eth_type_trans eth_validate_addr event_triggers_call extcon_dev_register extcon_set_state fasync_helper fault_in_iov_iter_readable __fdget fd_install fget fiemap_fill_next_extent fiemap_prep filemap_fdatawait_range filemap_fdatawrite filemap_fdatawrite_range filemap_flush filemap_write_and_wait_range file_remove_privs file_update_time _find_first_bit _find_first_zero_bit find_get_pid _find_last_bit _find_next_bit __find_vma finish_wait flow_block_cb_setup_simple flow_rule_match_basic flow_rule_match_ipv4_addrs flow_rule_match_ports flow_rule_match_vlan flush_dcache_page flush_delayed_work flush_work flush_workqueue fpsimd_context_busy fput free_irq free_netdev __free_pages free_pages free_percpu freezing_slow_path fs_bio_set fs_param_is_string fs_param_is_u32 __fs_parse fsync_bdev fwnode_property_present gcd generic_block_bmap generic_fh_to_dentry generic_fh_to_parent generic_file_fsync generic_file_llseek generic_file_mmap generic_file_open generic_file_read_iter generic_file_splice_read __generic_file_write_iter generic_fillattr generic_permission generic_read_dir generic_write_checks generic_write_end genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family genphy_aneg_done genphy_read_status genphy_restart_aneg genphy_resume genphy_soft_reset genphy_suspend genphy_update_link gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_free_owner gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys __getblk_gfp get_cpu_device get_device get_device_system_crosststamp __get_free_pages get_net_ns_by_fd get_net_ns_by_pid get_random_bytes get_random_u32 get_random_u64 get_tree_bdev get_unused_fd_flags get_user_pages get_user_pages_fast get_zeroed_page gic_nonsecure_priorities gpiochip_add_data_with_key gpiochip_generic_config gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get gpiod_get_index gpiod_get_raw_value gpiod_get_value gpiod_get_value_cansleep gpiod_put gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gpio_free gpio_request gpio_to_desc grab_cache_page_write_begin handle_sysrq hdmi_audio_infoframe_init hdmi_audio_infoframe_pack hdmi_avi_infoframe_init hdmi_avi_infoframe_pack hdmi_drm_infoframe_init hdmi_drm_infoframe_pack hdmi_infoframe_unpack hdmi_spd_infoframe_pack hdmi_vendor_infoframe_init hdmi_vendor_infoframe_pack hex2bin hex_asc hex_dump_to_buffer high_memory hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns __hw_addr_init __hw_addr_sync __hw_addr_unsync i2c_adapter_type i2c_add_adapter i2c_bus_type i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_get_adapter i2c_new_client_device i2c_parse_fw_timings i2c_put_adapter i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_write_byte_data i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client I_BDEV ida_alloc_range ida_free idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_remove idr_replace iget5_locked iget_failed ihold iio_buffer_init iio_buffer_put iio_device_attach_buffer __iio_device_register iio_device_unregister iio_get_time_ns iio_push_to_buffers iio_read_channel_processed ilookup inc_nlink inc_zone_page_state inet_csk_get_port init_net init_pseudo __init_rwsem init_special_inode __init_swait_queue_head init_task init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head inode_dio_wait inode_get_bytes inode_init_once inode_init_owner inode_needs_sync inode_nohighmem inode_set_bytes input_allocate_device input_event input_free_device input_register_device input_set_capability input_unregister_device insert_inode_locked invalidate_bdev invalidate_inode_buffers iomem_resource __ioremap ioremap_cache iounmap iov_iter_revert iov_iter_zero iput __ipv6_addr_type irq_chip_eoi_parent irq_chip_mask_parent irq_chip_retrigger_hierarchy irq_chip_set_affinity_parent irq_chip_set_type_parent irq_chip_unmask_parent irq_create_fwspec_mapping irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_free_irqs_parent irq_domain_get_irq_data irq_domain_set_hwirq_and_chip irq_find_matching_fwspec irq_of_parse_and_map irq_set_affinity_hint irq_set_irq_wake irq_stat irq_to_desc is_bad_inode is_vmalloc_addr iter_file_splice_write iwe_stream_add_event iwe_stream_add_point iwe_stream_add_value jiffies_64 jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kasprintf kernel_neon_begin kernel_neon_end kernel_param_lock kernel_param_unlock kernel_sigaction kern_mount kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_init __kfifo_in_r __kfifo_out __kfifo_out_peek __kfifo_out_r __kfifo_to_user kfree kfree_link kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kill_block_super kill_fasync kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kobject_init_and_add kobject_put kobject_uevent kobject_uevent_env krealloc kstrdup kstrtobool kstrtobool_from_user kstrtoint kstrtoll kstrtos8 kstrtou16 kstrtou8 kstrtou8_from_user kstrtouint kstrtouint_from_user kstrtoull kthread_create_on_node __kthread_init_worker kthread_queue_work kthread_should_stop kthread_stop kthread_worker_fn ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_seconds ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node led_classdev_register_ext led_classdev_unregister led_trigger_blink_oneshot led_trigger_register_simple led_trigger_unregister_simple __list_add_valid __list_del_entry_valid ll_rw_block load_nls load_nls_default __local_bh_enable_ip __lock_buffer __lock_page lockref_get logfc loops_per_jiffy mac_pton make_bad_inode mark_buffer_dirty __mark_inode_dirty mbox_chan_received_data mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message mdiobus_alloc_size mdiobus_free mdiobus_get_phy mdiobus_read mdiobus_unregister mdiobus_write mdio_device_create mdio_device_free memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memparse mem_section memset64 memset __memset_io memstart_addr misc_deregister misc_register __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking mmc_add_host mmc_alloc_host mmc_card_is_blockaddr __mmc_claim_host mmc_detect_change mmc_free_host mmc_gpio_get_cd mmc_of_parse mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_release_host mmc_remove_host mmc_request_done mmc_send_tuning mmc_set_data_timeout mmc_switch mmc_sw_reset mmc_wait_for_cmd mmc_wait_for_req __mmdrop mod_delayed_work_on mod_node_page_state mod_timer module_layout module_put mpage_readahead mpage_readpage mpage_writepages __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock names_cachep __napi_alloc_skb napi_complete_done napi_disable napi_enable napi_gro_receive __napi_schedule napi_schedule_prep netdev_alert __netdev_alloc_skb netdev_err netdev_info netdev_pick_tx netdev_rss_key_fill netdev_set_default_ethtool_ops netdev_update_features netdev_warn netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add __netif_napi_del netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_schedule_queue netif_set_real_num_rx_queues netif_set_real_num_tx_queues netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast __netlink_kernel_create netlink_kernel_release netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations net_ratelimit new_inode next_arg nla_find nla_memcpy __nla_parse nla_put_64bit nla_put nla_put_nohdr nla_reserve __nla_validate __nlmsg_put no_llseek nonseekable_open noop_backing_dev_info noop_llseek nr_cpu_ids ns_to_timespec64 __num_online_cpus nvmem_cell_read of_address_to_resource of_alias_get_id of_clk_add_provider of_clk_get of_clk_get_by_name of_clk_hw_onecell_get of_clk_src_onecell_get of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure_id of_dma_is_coherent of_find_compatible_node of_find_device_by_node of_find_i2c_adapter_by_node of_find_node_by_name of_find_node_by_phandle of_find_node_by_type of_find_node_opts_by_path of_find_property of_fwnode_ops of_genpd_add_provider_onecell of_get_child_by_name of_get_compatible_child of_get_mac_address of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_parent of_get_phy_mode of_get_property of_get_regulator_init_data of_graph_get_remote_port_parent of_iomap of_irq_find_parent of_irq_get of_irq_get_byname of_irq_parse_one of_machine_is_compatible of_match_device of_match_node of_mdiobus_register of_mdio_find_bus of_parse_phandle of_parse_phandle_with_args of_phy_is_fixed_link of_platform_depopulate of_platform_populate of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_u32 of_pwm_xlate_with_flags of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup __of_reset_control_get of_thermal_get_ntrips of_thermal_is_trip_valid oops_in_progress overflowgid overflowuid pagecache_get_page pagecache_write_begin pagecache_write_end page_endio page_mapping page_pool_alloc_pages page_pool_create page_pool_destroy page_pool_put_page page_pool_release_page panic panic_notifier_list param_array_ops param_get_charp param_get_string param_ops_bool param_ops_byte param_ops_charp param_ops_int param_ops_long param_ops_short param_ops_string param_ops_uint param_ops_ullong param_ops_ulong param_ops_ushort param_set_charp param_set_copystring pci_find_next_bus pci_generic_config_read pci_generic_config_write pci_get_device pci_lock_rescan_remove pci_read_config_dword pci_rescan_bus pci_stop_and_remove_bus_device_locked pci_unlock_rescan_remove pci_write_config_dword PDE_DATA __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory phy_attached_info phy_drivers_register phy_drivers_unregister phy_error phy_exit phy_init phy_init_eee phylink_connect_phy phylink_create phylink_destroy phylink_disconnect_phy phylink_ethtool_get_eee phylink_ethtool_get_pauseparam phylink_ethtool_get_wol phylink_ethtool_ksettings_get phylink_ethtool_ksettings_set phylink_ethtool_nway_reset phylink_ethtool_set_eee phylink_ethtool_set_pauseparam phylink_ethtool_set_wol phylink_get_eee_err phylink_mii_ioctl phylink_of_phy_connect phylink_resume phylink_set_pcs phylink_set_port_modes phylink_speed_down phylink_speed_up phylink_start phylink_stop phylink_suspend phy_power_off phy_power_on phy_print_status phy_trigger_machine pid_task pinconf_generic_dt_free_map pinconf_generic_dt_node_to_map pinctrl_dev_get_drvdata pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_select_state pinctrl_utils_free_map pin_user_pages pin_user_pages_remote platform_device_add platform_device_add_resources platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_resource platform_get_resource_byname pm_genpd_add_subdomain pm_genpd_init pm_power_off __pm_relax pm_relax pm_runtime_allow __pm_runtime_disable pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle pm_runtime_irq_safe __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_stay_awake pm_wakeup_dev_event pm_wakeup_ws_event posix_acl_chmod prandom_bytes prandom_u32 preempt_schedule preempt_schedule_notrace prepare_to_wait_event _printk __printk_ratelimit proc_create proc_create_data proc_dointvec proc_mkdir proc_remove pskb_expand_head __pskb_pull_tail ___pskb_trim ptp_clock_event ptp_clock_index ptp_clock_register ptp_clock_unregister put_device __put_net __put_page put_pid __put_task_struct put_unused_fd pwm_adjust_config pwm_apply_state pwmchip_add pwmchip_remove pwm_get_chip_data pwm_set_chip_data queue_delayed_work_on queue_work_on ___ratelimit raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_unlock _raw_read_unlock_bh _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_unlock rb_erase rb_first rb_insert_color rb_last rb_next rb_prev rb_replace_node rcu_barrier __rcu_read_lock __rcu_read_unlock rdev_get_drvdata read_cache_page refcount_warn_saturate __refrigerator regcache_sync __register_blkdev __register_chrdev register_chrdev_region register_console register_die_notifier register_filesystem register_inet6addr_notifier register_inetaddr_notifier register_module_notifier register_netdev register_netdevice register_netdevice_notifier register_oom_notifier register_pernet_device register_pm_notifier register_reboot_notifier register_restart_handler register_shrinker register_syscore_ops register_sysctl_table regmap_field_read regmap_field_update_bits_base regmap_multi_reg_write regmap_read regmap_update_bits_base regmap_write regulator_count_voltages regulator_disable regulator_enable regulator_get_optional regulator_get_voltage regulator_list_voltage regulator_map_voltage_iterate regulator_put regulator_set_voltage release_firmware __release_region release_resource remap_pfn_range remap_vmalloc_range remove_cpu remove_proc_entry request_firmware request_firmware_nowait __request_module __request_region request_threaded_irq reset_control_assert reset_control_deassert reset_control_put reset_control_reset rfkill_alloc rfkill_blocked rfkill_destroy rfkill_init_sw_state rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_unregister rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert round_jiffies round_jiffies_relative round_jiffies_up rtc_add_group rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtnl_is_locked rtnl_lock rtnl_unlock runqueues sb_set_blocksize scatterwalk_map_and_copy sched_clock sched_set_fifo_low sched_set_normal sched_setscheduler sched_setscheduler_nocheck sched_show_task schedule schedule_timeout schedule_timeout_interruptible scnprintf sdio_claim_host sdio_claim_irq sdio_disable_func sdio_enable_func sdio_f0_readb sdio_f0_writeb sdio_get_host_pm_caps sdio_memcpy_fromio sdio_memcpy_toio sdio_readb sdio_readl sdio_readsb sdio_readw sdio_register_driver sdio_release_host sdio_release_irq sdio_set_block_size sdio_set_host_pm_flags sdio_unregister_driver sdio_writeb sdio_writel sdio_writesb sdio_writew send_sig seq_lseek seq_open seq_printf seq_putc seq_puts seq_read seq_release seq_write setattr_copy setattr_prepare set_bh_page set_capacity set_capacity_and_notify set_cpus_allowed_ptr set_freezable set_nlink set_normalized_timespec64 set_page_dirty __set_page_dirty_buffers set_page_dirty_lock __SetPageMovable set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_copy_from_buffer sg_copy_to_buffer sg_free_table sg_init_one sg_init_table sg_nents sg_next __sg_page_iter_next __sg_page_iter_start show_class_attr_string simple_attr_open simple_attr_read simple_attr_release simple_attr_write simple_open simple_read_from_buffer simple_strtol simple_strtoul simple_write_to_buffer single_open single_open_size single_release skb_add_rx_frag skb_checksum_help skb_clone skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_ensure_writable __skb_get_hash __skb_gso_segment __skb_pad skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_trim skb_tstamp_tx skip_spaces smp_call_function snd_ctl_add snd_ctl_boolean_mono_info snd_ctl_enum_info snd_ctl_new1 snd_dma_alloc_pages snd_dma_free_pages snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_kernel_ioctl snd_pcm_lib_default_mmap snd_pcm_lib_free_pages snd_pcm_lib_ioctl snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_pages snd_pcm_period_elapsed snd_pcm_rate_to_rate_bit snd_pcm_stop_xrun snd_soc_add_card_controls snd_soc_add_dai_controls snd_soc_bytes_info_ext snd_soc_component_read snd_soc_component_write snd_soc_daifmt_clock_provider_from_bitmap snd_soc_daifmt_parse_clock_provider_raw snd_soc_daifmt_parse_format snd_soc_dai_set_fmt snd_soc_dai_set_sysclk snd_soc_dai_set_tdm_slot snd_soc_dapm_get_enum_double snd_soc_dapm_put_enum_double snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_of_get_dai_link_codecs snd_soc_of_get_dai_name snd_soc_of_get_slot_mask snd_soc_of_parse_audio_routing snd_soc_of_parse_audio_simple_widgets snd_soc_of_parse_card_name snd_soc_of_parse_tdm_slot snd_soc_pm_ops snd_soc_put_volsw snd_soc_set_runtime_hwparams snd_soc_unregister_component snprintf __sock_create sock_release sock_wfree sort __spi_alloc_controller spi_alloc_device spi_busnum_to_master spi_controller_resume spi_controller_suspend spi_finalize_current_transfer spi_mem_adjust_op_size spi_mem_default_supports_op spi_mem_supports_op spi_new_device __spi_register_driver spi_setup spi_sync split_page sprintf sprint_symbol sscanf __stack_chk_fail stack_trace_print stack_trace_save static_key_slow_dec static_key_slow_inc stpcpy strcasecmp strcat strchr strchrnul strcmp strcpy strcspn strlcpy strlen strncasecmp strncat strnchr strncmp strncpy strncpy_from_user strnlen strnstr strrchr strscpy strsep strspn strstr submit_bh submit_bio submit_bio_wait __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 __symbol_get __symbol_put symbol_put_addr sync_blockdev sync_dirty_buffer sync_file_create sync_file_get_fence sync_filesystem synchronize_irq synchronize_net synchronize_rcu sync_inode_metadata sync_mapping_buffers syscon_node_to_regmap syscon_regmap_lookup_by_phandle sysfs_create_file_ns sysfs_create_group sysfs_create_link sysfs_emit __sysfs_match_string sysfs_remove_file_ns sysfs_remove_group sysfs_remove_link sysfs_streq sysrq_mask system_freezable_wq system_freezing_cnt system_highpri_wq system_power_efficient_wq system_wq sys_tz tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_zone_device_unregister thermal_zone_device_update thermal_zone_get_zone_by_name thermal_zone_of_sensor_unregister time64_to_tm _totalram_pages trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_vh_cpu_idle_enter __traceiter_android_vh_cpu_idle_exit __traceiter_android_vh_dump_throttled_rt_tasks __traceiter_android_vh_mmc_sd_update_cmdline_timing __traceiter_android_vh_mmc_sd_update_dataline_timing __traceiter_android_vh_sched_show_task __traceiter_gpu_mem_total __traceiter_irq_handler_entry __traceiter_irq_handler_exit __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __traceiter_xdp_exception trace_output_call __tracepoint_android_vh_cpu_idle_enter __tracepoint_android_vh_cpu_idle_exit __tracepoint_android_vh_dump_throttled_rt_tasks __tracepoint_android_vh_mmc_sd_update_cmdline_timing __tracepoint_android_vh_mmc_sd_update_dataline_timing __tracepoint_android_vh_sched_show_task __tracepoint_gpu_mem_total __tracepoint_irq_handler_entry __tracepoint_irq_handler_exit __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking tracepoint_probe_register __tracepoint_xdp_exception trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq trace_raw_output_prep truncate_inode_pages_final truncate_pagecache truncate_setsize try_module_get try_wait_for_completion tty_flip_buffer_push uart_add_one_port uart_console_device uart_console_write uart_get_baud_rate uart_insert_char uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort __udelay __uio_register_device uio_unregister_device unload_nls unlock_buffer unlock_new_inode unlock_page unmap_mapping_range unpin_user_page unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_filesystem unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_module_notifier unregister_netdev unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_oom_notifier unregister_pernet_device unregister_pm_notifier unregister_reboot_notifier unregister_shrinker unregister_sysctl_table up up_read up_write usb_add_gadget_udc usb_add_hcd usb_add_phy_dev usb_create_hcd usb_del_gadget_udc usb_ep_set_maxpacket_limit usb_gadget_probe_driver usb_gadget_unregister_driver usb_hcd_check_unlink_urb usb_hcd_giveback_urb usb_hcd_resume_root_hub usb_put_hcd usb_remove_hcd __usecs_to_jiffies usleep_range_state utf16s_to_utf8s utf8_to_utf32 v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_unregister v4l2_event_queue_fh v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_open v4l2_m2m_buf_queue v4l2_m2m_buf_remove v4l2_m2m_ctx_init v4l2_m2m_ctx_release v4l2_m2m_dqbuf v4l2_m2m_fop_mmap v4l2_m2m_fop_poll v4l2_m2m_get_vq v4l2_m2m_init v4l2_m2m_ioctl_create_bufs v4l2_m2m_ioctl_expbuf v4l2_m2m_ioctl_querybuf v4l2_m2m_ioctl_reqbufs v4l2_m2m_ioctl_streamoff v4l2_m2m_ioctl_streamon v4l2_m2m_job_finish v4l2_m2m_next_buf v4l2_m2m_qbuf v4l2_m2m_release v4l2_m2m_try_schedule v4l2_src_change_event_subscribe v4l_bound_align_image vabits_actual vb2_buffer_done vb2_dma_contig_memops vb2_dma_sg_memops vb2_fop_mmap vb2_fop_poll vb2_fop_read vb2_fop_release vb2_ioctl_create_bufs vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_queue_init vb2_queue_release verify_pkcs7_signature vfree vfs_fsync_range video_devdata video_device_alloc video_device_release video_ioctl2 __video_register_device video_unregister_device vmalloc vmalloc_to_page vmalloc_to_pfn vmalloc_user vmap vmf_insert_pfn_prot vm_unmap_aliases vm_zone_stat vprintk vsnprintf vsprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_killable wait_for_completion_timeout __wait_on_buffer __wake_up wake_up_process wakeup_source_register wakeup_source_unregister __wake_up_sync __warn_printk wireless_nlevent_flush wireless_send_event work_busy write_inode_now xdp_convert_zc_to_xdp_frame xdp_do_flush xdp_do_redirect xdp_master_redirect xdp_return_frame xdp_return_frame_rx_napi xdp_rxq_info_is_reg xdp_rxq_info_reg xdp_rxq_info_reg_mem_model xdp_rxq_info_unreg xdp_rxq_info_unreg_mem_model xdp_warn xp_alloc xp_dma_map xp_dma_sync_for_cpu_slow xp_dma_sync_for_device_slow xp_dma_unmap xp_free xp_raw_get_dma xp_set_rxq_info xsk_clear_rx_need_wakeup xsk_get_pool_from_qid xsk_set_rx_need_wakeup xsk_set_tx_need_wakeup xsk_tx_completed xsk_tx_peek_desc xsk_tx_release xsk_uses_need_wakeup zs_compact zs_create_pool zs_destroy_pool zs_free zs_get_total_pages zs_huge_class_size zs_malloc zs_map_object zs_pool_stats zs_unmap_object [abi_symbol_list] add_device_randomness add_timer add_uevent_var alloc_anon_inode alloc_chrdev_region alloc_netdev_mqs __alloc_pages alloc_pages_exact __alloc_percpu __alloc_percpu_gfp __alloc_skb alloc_workqueue anon_inode_getfd arc4_crypt arc4_setkey __arch_copy_from_user __arch_copy_to_user arm64_const_caps_ready arm64_use_ng_mappings __arm_smccc_hvc __arm_smccc_smc atomic_notifier_chain_register autoremove_wake_function bcmp bio_endio bio_end_io_acct_remapped bio_start_io_acct __blk_alloc_disk blk_cleanup_disk blk_cleanup_queue blk_mq_alloc_tag_set blk_mq_complete_request __blk_mq_end_request blk_mq_end_request blk_mq_free_tag_set blk_mq_init_queue blk_mq_start_request blk_queue_flag_clear blk_queue_flag_set blk_queue_io_min blk_queue_io_opt blk_queue_logical_block_size blk_queue_max_discard_sectors blk_queue_max_hw_sectors blk_queue_max_segment_size blk_queue_max_write_zeroes_sectors blk_queue_physical_block_size blk_queue_rq_timeout blk_queue_write_cache blk_update_request blockdev_superblock blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run10 bpf_trace_run1 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bt_err bt_info build_skb bus_for_each_dev bus_register bus_register_notifier bus_set_iommu bus_unregister bus_unregister_notifier cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init __cfi_slowpath_diag __check_object_size __class_create class_create_file_ns class_destroy class_find_device __class_register class_unregister __ClearPageMovable clk_disable clk_enable clk_fixed_factor_ops clk_get __clk_get_hw __clk_get_name clk_get_parent clk_get_rate clk_hw_get_flags clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_round_rate clk_hw_unregister __clk_is_enabled __clk_mux_determine_rate clk_notifier_register clk_prepare clk_put clk_register_fixed_factor clk_register_fixed_rate clk_register_gate clk_round_rate clk_set_parent clk_set_rate clk_unprepare clk_unregister_fixed_factor clk_unregister_fixed_rate clk_unregister_gate cma_alloc cma_get_name cma_release compat_ptr_ioctl complete complete_all completion_done console_lock console_suspend_enabled console_unlock __const_udelay consume_skb contig_page_data cpufreq_enable_boost_support cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_attr_scaling_boost_freqs cpufreq_generic_frequency_table_verify cpufreq_generic_get cpufreq_generic_suspend cpufreq_register_driver cpufreq_register_notifier cpufreq_unregister_driver cpufreq_unregister_notifier cpufreq_update_policy __cpuhp_remove_state __cpuhp_setup_state __cpuhp_state_add_instance __cpuhp_state_remove_instance cpu_hwcap_keys cpu_hwcaps cpumask_next cpu_number __cpu_online_mask __cpu_possible_mask __cpu_present_mask crc32_be crc32_le crc_ccitt_table crypto_aead_decrypt crypto_aead_encrypt crypto_aead_setauthsize crypto_aead_setkey crypto_alloc_aead crypto_alloc_base crypto_alloc_shash crypto_alloc_skcipher crypto_comp_compress crypto_comp_decompress crypto_destroy_tfm crypto_has_alg __crypto_memneq crypto_shash_digest crypto_shash_final crypto_shash_finup crypto_shash_setkey crypto_shash_update crypto_skcipher_decrypt crypto_skcipher_encrypt crypto_skcipher_setkey __crypto_xor csum_ipv6_magic _ctype debugfs_create_dir debugfs_create_file debugfs_create_u32 debugfs_create_x8 debugfs_initialized debugfs_remove debugfs_rename dec_zone_page_state default_llseek delayed_work_timer_fn del_gendisk del_timer del_timer_sync destroy_workqueue dev_alloc_name __dev_change_net_namespace dev_close dev_driver_string _dev_err dev_fetch_sw_netstats devfreq_event_disable_edev devfreq_event_enable_edev devfreq_event_get_edev_by_phandle devfreq_event_get_event devfreq_recommended_opp devfreq_resume_device devfreq_suspend_device __dev_get_by_index dev_get_by_index device_add device_add_disk device_create device_create_file device_create_with_groups device_del device_destroy device_find_child device_for_each_child device_initialize device_init_wakeup device_move device_property_read_string device_register device_remove_file device_remove_groups device_rename device_set_wakeup_capable device_unregister device_wakeup_disable device_wakeup_enable _dev_info __dev_kfree_skb_any devm_add_action devm_clk_get devm_clk_put devm_clk_register devm_devfreq_add_device devm_devfreq_event_add_edev devm_devfreq_register_opp_notifier devm_free_irq devm_gpio_free devm_gpio_request devm_gpio_request_one devm_hwrng_register devm_input_allocate_device devm_ioremap devm_ioremap_resource devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup devm_kstrdup devm_kvasprintf devm_nvmem_register devm_of_clk_add_hw_provider devm_of_iomap devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_platform_ioremap_resource devm_power_supply_get_by_phandle devm_power_supply_register __devm_regmap_init_i2c __devm_regmap_init_mmio_clk devm_regulator_get devm_regulator_register __devm_release_region devm_request_any_context_irq __devm_request_region devm_request_threaded_irq __devm_reset_control_get devm_rtc_allocate_device __devm_rtc_register_device devm_snd_soc_register_card devm_thermal_zone_of_sensor_register dev_pm_clear_wake_irq dev_pm_opp_find_freq_floor dev_pm_opp_free_cpufreq_table dev_pm_opp_get_freq dev_pm_opp_get_max_transition_latency dev_pm_opp_get_opp_count dev_pm_opp_get_sharing_cpus dev_pm_opp_get_suspend_opp_freq dev_pm_opp_get_voltage dev_pm_opp_init_cpufreq_table dev_pm_opp_of_add_table dev_pm_opp_of_cpumask_add_table dev_pm_opp_of_cpumask_remove_table dev_pm_opp_of_get_opp_desc_node dev_pm_opp_of_get_sharing_cpus dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put_prop_name dev_pm_opp_put_regulators dev_pm_opp_put_supported_hw dev_pm_opp_set_prop_name dev_pm_opp_set_rate dev_pm_opp_set_regulators dev_pm_opp_set_sharing_cpus dev_pm_opp_set_supported_hw dev_pm_set_wake_irq _dev_printk dev_queue_xmit dev_set_name _dev_warn disable_irq disable_irq_nosync disk_end_io_acct disk_start_io_acct divider_get_val divider_recalc_rate divider_round_rate_parent dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_detach dma_buf_end_cpu_access dma_buf_export dma_buf_fd dma_buf_get dma_buf_map_attachment dma_buf_mmap dmabuf_page_pool_alloc dmabuf_page_pool_create dmabuf_page_pool_destroy dmabuf_page_pool_free dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_contiguous_default_area dma_fence_add_callback dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_free dma_fence_init dma_fence_release dma_fence_signal dma_fence_signal_locked dma_fence_wait_timeout dma_free_attrs dma_get_any_slave_channel dma_heap_add dma_heap_buffer_alloc dma_heap_buffer_free dma_heap_find dma_heap_get_dev dma_heap_get_drvdata dma_heap_get_name dma_map_page_attrs dma_map_sg_attrs dma_map_sgtable dma_mmap_attrs dmam_pool_create dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_release_channel dma_request_chan __dma_request_channel dma_set_coherent_mask dma_set_mask dma_sync_sg_for_cpu dma_sync_sg_for_device dma_sync_single_for_cpu dma_sync_single_for_device dma_unmap_page_attrs dma_unmap_sg_attrs do_SAK do_trace_netlink_extack down down_interruptible down_read down_timeout down_trylock down_write driver_attach driver_register driver_unregister drm_compat_ioctl __drm_dbg drm_dev_alloc drm_dev_put drm_dev_register drm_dev_unregister __drm_err drm_ioctl drmm_mode_config_init drm_mode_config_cleanup drm_open drm_poll drm_read drm_release dump_stack ehci_init_driver ehci_resume ehci_suspend enable_irq ether_setup eth_mac_addr ethtool_op_get_link eth_type_trans event_triggers_call fb_mode_option fd_install fget _find_first_bit _find_next_bit __find_vma find_vpid finish_wait flush_dcache_page flush_delayed_work flush_work flush_workqueue fput free_irq free_netdev __free_pages free_pages free_pages_exact free_percpu freezing_slow_path fsync_bdev gcd generic_file_llseek generic_handle_irq genlmsg_multicast_allns genlmsg_put genl_register_family genl_unregister_family gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_dma_alloc_align gen_pool_dma_zalloc_align gen_pool_free_owner gen_pool_set_algo gen_pool_virt_to_phys get_cpu_device get_device __get_free_pages get_kernel_pages get_net_ns_by_fd get_net_ns_by_pid get_random_bytes get_random_u32 __get_task_comm get_unused_fd_flags get_zeroed_page gic_nonsecure_priorities gpiochip_add_data_with_key gpiochip_add_pin_range gpiochip_generic_config gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_line_is_irq gpiochip_lock_as_irq gpiochip_remove gpiochip_unlock_as_irq gpiod_direction_input gpiod_direction_output_raw gpiod_get_raw_value gpiod_set_debounce gpiod_set_raw_value gpiod_to_irq gpio_free gpio_request gpio_to_desc handle_edge_irq handle_fasteoi_irq handle_sysrq hrtimer_active hrtimer_cancel hrtimer_forward __hrtimer_get_remaining hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel __hw_addr_init __hw_addr_sync __hw_addr_unsync i2c_adapter_type i2c_add_numbered_adapter i2c_bus_type i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_generic_scl_recovery i2c_get_adapter i2c_get_dma_safe_msg_buf i2c_put_adapter i2c_put_dma_safe_msg_buf i2c_recover_bus i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_write_byte_data i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client I_BDEV idr_alloc idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove idr_replace ignore_console_lock_warning inc_zone_page_state in_egroup_p inet_csk_get_port init_net init_pseudo __init_rwsem __init_swait_queue_head init_timer_key init_uts_ns init_wait_entry __init_waitqueue_head input_allocate_device input_close_device input_event input_free_device input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_set_capability input_unregister_device input_unregister_handle iomem_resource iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unregister iommu_get_dma_cookie iommu_group_alloc iommu_group_register_notifier iommu_put_dma_cookie ioport_resource __ioremap iounmap iput __irq_alloc_domain_generic_chips irq_chip_disable_parent irq_chip_enable_parent irq_chip_eoi_parent irq_chip_mask_parent irq_chip_retrigger_hierarchy irq_chip_set_affinity_parent irq_chip_set_type_parent irq_chip_unmask_parent irq_create_mapping_affinity irq_dispose_mapping __irq_domain_add irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_free_irqs_common irq_domain_remove irq_domain_set_hwirq_and_chip irq_find_matching_fwspec irq_gc_ack_set_bit irq_gc_mask_clr_bit irq_gc_mask_set_bit irq_generic_chip_ops irq_get_domain_generic_chip irq_get_irq_data irq_of_parse_and_map __irq_resolve_mapping irq_set_chained_handler_and_data irq_set_chip_and_handler_name irq_set_chip_data irq_set_irq_type irq_set_irq_wake irq_setup_alt_chip irq_to_desc is_console_locked is_vmalloc_addr jiffies jiffies_to_msecs jiffies_to_usecs kasan_flag_enabled kernel_param_lock kernel_param_unlock kernel_sigaction kern_mount kern_unmount key_create_or_update key_put keyring_alloc __kfifo_alloc __kfifo_free __kfifo_in __kfifo_out kfree kfree_sensitive kfree_skb kfree_skb_list kill_anon_super kill_pid kimage_voffset __kmalloc kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kobject_init_and_add kobject_uevent kobject_uevent_env krealloc ksize kstrdup kstrtoint kstrtoll kstrtou16 kstrtouint kstrtoull kthread_create_on_node kthread_freezable_should_stop kthread_should_stop kthread_stop ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_seconds ktime_get_ts64 ktime_get_with_offset kvfree kvfree_call_rcu kvmalloc_node __list_add_valid __list_del_entry_valid list_sort __local_bh_enable_ip __lock_page media_create_pad_link media_device_cleanup media_device_init __media_device_register media_device_unregister media_entity_find_link media_entity_pads_init media_entity_remote_pad __media_entity_setup_link media_entity_setup_link media_graph_walk_init media_graph_walk_next media_graph_walk_start memblock_free memcmp memcpy __memcpy_fromio __memcpy_toio memdup_user memmove memparse memremap mem_section memset64 memset memstart_addr memunmap mfd_add_devices mfd_remove_devices misc_deregister misc_register __mmap_lock_do_trace_acquire_returned __mmap_lock_do_trace_released __mmap_lock_do_trace_start_locking mmc_add_host mmc_alloc_host __mmc_claim_host mmc_cqe_request_done mmc_detect_change mmc_free_host mmc_gpio_get_cd mmc_gpio_get_ro mmc_of_parse mmc_release_host mmc_remove_host mmc_request_done mmc_send_status mmc_set_data_timeout mmc_wait_for_req mod_delayed_work_on mod_timer module_layout module_put __msecs_to_jiffies msleep msleep_interruptible __mutex_init mutex_is_locked mutex_lock mutex_trylock mutex_unlock napi_gro_receive __netdev_alloc_frag_align __netdev_alloc_skb netdev_err netdev_info netdev_set_default_ethtool_ops netdev_warn netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_tx_stop_all_queues netif_tx_wake_queue netlink_broadcast __netlink_kernel_create netlink_kernel_release netlink_register_notifier netlink_unicast netlink_unregister_notifier net_ns_type_operations net_ratelimit nla_find nla_memcpy __nla_parse nla_put_64bit nla_put nla_reserve __nla_validate __nlmsg_put no_llseek nonseekable_open nr_cpu_ids ns_to_timespec64 n_tty_ioctl_helper __num_online_cpus nvmem_cell_put nvmem_cell_read of_address_to_resource of_alias_get_id of_clk_add_hw_provider of_clk_add_provider of_clk_del_provider of_clk_get of_clk_get_by_name of_clk_get_parent_count of_clk_hw_onecell_get of_clk_hw_register of_clk_src_simple_get of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_controller_free of_dma_controller_register of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_phandle of_find_node_by_type of_find_node_opts_by_path of_find_property of_fwnode_ops of_genpd_add_provider_simple of_genpd_del_provider of_get_child_by_name of_get_cpu_node of_get_named_gpio_flags of_get_next_available_child of_get_property of_iomap of_io_request_and_map of_irq_find_parent of_irq_get of_match_device of_match_node of_nvmem_cell_get of_parse_phandle of_parse_phandle_with_args of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_variable_u32_array of_property_read_variable_u8_array of_prop_next_string of_prop_next_u32 of_pwm_xlate_with_flags oops_in_progress page_endio page_mapping panic panic_notifier_list param_array_ops param_ops_bool param_ops_charp param_ops_int param_ops_string param_ops_uint pci_choose_state pci_clear_master pci_dev_put pci_disable_device pci_disable_msi pci_enable_device pci_enable_msi pci_get_device pci_get_slot pci_ioremap_bar pci_match_id pci_read_config_word __pci_register_driver pci_release_region pci_release_regions pci_request_region pci_request_regions pci_restore_state pci_save_state pci_set_master pci_set_power_state pci_unregister_driver pci_write_config_byte pci_write_config_word PDE_DATA __per_cpu_offset perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_is_map_memory pinctrl_dev_get_drvdata pinctrl_get pinctrl_gpio_direction_input pinctrl_gpio_direction_output pinctrl_gpio_set_config pinctrl_lookup_state pinctrl_put pinctrl_select_state pin_user_pages_fast platform_bus_type platform_device_add platform_device_alloc platform_device_del platform_device_register platform_device_register_full platform_device_unregister __platform_driver_probe __platform_driver_register platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_resource pm_genpd_init pm_genpd_remove pm_power_off __pm_relax __pm_runtime_disable pm_runtime_enable pm_runtime_force_resume pm_runtime_force_suspend __pm_runtime_idle __pm_runtime_resume pm_runtime_set_autosuspend_delay __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake pm_wakeup_dev_event pm_wakeup_ws_event policy_has_boost_freq power_supply_changed power_supply_get_drvdata power_supply_get_property power_supply_set_property power_supply_unregister ppp_channel_index ppp_input ppp_input_error ppp_output_wakeup ppp_register_channel ppp_unit_number ppp_unregister_channel prandom_bytes prandom_u32 preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event print_hex_dump _printk proc_create proc_create_data proc_create_seq_private proc_dointvec proc_mkdir __pskb_copy_fclone pskb_expand_head __pskb_pull_tail ___pskb_trim put_device put_disk __put_net __put_page __put_task_struct put_unused_fd pwm_apply_state pwmchip_add pwmchip_remove pwm_free pwm_request queue_delayed_work_on queue_work_on ___ratelimit raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister _raw_read_lock _raw_read_lock_bh _raw_read_unlock _raw_read_unlock_bh _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_trylock_bh _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irq _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irq rb_erase rb_insert_color rb_next rb_prev rcu_barrier __rcu_read_lock __rcu_read_unlock rdev_get_drvdata refcount_warn_saturate __refrigerator __register_blkdev __register_chrdev register_chrdev_region register_inet6addr_notifier register_inetaddr_notifier register_netdevice register_netdevice_notifier register_pernet_device register_pm_notifier register_reboot_notifier register_shrinker register_syscore_ops register_sysctl_table regmap_add_irq_chip regmap_bulk_read regmap_bulk_write regmap_del_irq_chip regmap_irq_get_virq regmap_read regmap_update_bits_base regmap_write regulator_count_voltages regulator_disable regulator_disable_regmap regulator_enable regulator_enable_regmap regulator_get regulator_get_mode regulator_get_optional regulator_get_voltage regulator_get_voltage_sel_regmap regulator_is_enabled regulator_is_enabled_regmap regulator_list_voltage regulator_list_voltage_linear regulator_list_voltage_linear_range regulator_put regulator_set_current_limit regulator_set_mode regulator_set_voltage regulator_set_voltage_sel_regmap release_firmware __release_region remap_pfn_range remove_proc_entry request_firmware request_firmware_direct request_firmware_nowait __request_module __request_region request_threaded_irq reset_control_assert reset_control_deassert reset_controller_register reset_control_put reset_control_reset rfkill_alloc rfkill_blocked rfkill_destroy rfkill_init_sw_state rfkill_register rfkill_resume_polling rfkill_set_hw_state_reason rfkill_set_states rfkill_unregister rhashtable_free_and_destroy rhashtable_insert_slow rhltable_init __rht_bucket_nested rht_bucket_nested rht_bucket_nested_insert round_jiffies round_jiffies_relative round_jiffies_up rq_flush_dcache_pages rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_lock rtnl_unlock sb800_prefetch sched_clock sched_setscheduler schedule schedule_timeout schedule_timeout_interruptible schedule_timeout_uninterruptible scnprintf sdio_align_size sdio_claim_host sdio_claim_irq sdio_disable_func sdio_enable_func sdio_f0_readb sdio_f0_writeb sdio_get_host_pm_caps sdio_memcpy_fromio sdio_memcpy_toio sdio_readb sdio_readl sdio_readsb sdio_register_driver sdio_release_host sdio_release_irq sdio_set_block_size sdio_set_host_pm_flags sdio_unregister_driver sdio_writeb sdio_writel sdio_writesb send_sig_info seq_lseek seq_open seq_printf seq_putc seq_puts seq_read seq_release seq_vprintf seq_write set_capacity set_capacity_and_notify set_disk_ro set_freezable __SetPageMovable set_user_nice sg_alloc_table sg_alloc_table_from_pages_segment sg_free_table sg_init_one sg_init_table sg_next __sg_page_iter_next __sg_page_iter_start si_meminfo simple_open simple_read_from_buffer simple_strtol simple_strtoul single_open single_release skb_add_rx_frag skb_checksum_help skb_clone skb_clone_sk skb_complete_wifi_ack skb_copy skb_copy_bits skb_copy_expand skb_dequeue skb_dequeue_tail skb_ensure_writable __skb_get_hash __skb_gso_segment __skb_pad skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_trim skb_unlink snd_card_ref snd_card_register snd_card_rw_proc_new snd_ctl_add snd_ctl_boolean_mono_info snd_ctl_new1 snd_ctl_notify snd_devm_card_new snd_dmaengine_pcm_close_release_chan snd_dmaengine_pcm_get_chan snd_dmaengine_pcm_open snd_dmaengine_pcm_pointer snd_dmaengine_pcm_trigger snd_ecards_limit snd_hwparams_to_dma_slave_config snd_info_get_line snd_interval_refine snd_jack_report snd_jack_set_key snd_pcm_format_physical_width snd_pcm_format_set_silence snd_pcm_hw_constraint_integer snd_pcm_hw_rule_add snd_pcm_lib_ioctl snd_pcm_new snd_pcm_period_elapsed snd_pcm_set_managed_buffer_all snd_pcm_set_ops snd_pcm_stop snd_pcm_stop_xrun snd_soc_add_component_controls snd_soc_card_jack_new snd_soc_component_set_pll snd_soc_dai_set_bclk_ratio snd_soc_dai_set_clkdiv snd_soc_dai_set_fmt snd_soc_dai_set_pll snd_soc_dai_set_sysclk snd_soc_dai_set_tdm_slot snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_get_pin_switch snd_soc_dapm_info_pin_switch snd_soc_dapm_new_controls snd_soc_dapm_put_pin_switch snd_soc_dapm_sync snd_soc_get_enum_double snd_soc_get_volsw snd_soc_info_enum_double snd_soc_info_volsw snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_parse_audio_simple_widgets snd_soc_of_parse_card_name snd_soc_pm_ops snd_soc_put_enum_double snd_soc_put_volsw snd_soc_register_component snd_soc_set_runtime_hwparams snd_soc_unregister_component snd_timer_close snd_timer_instance_free snd_timer_instance_new snd_timer_open snd_timer_start snd_timer_stop snprintf __sock_create sock_release sort __spi_alloc_controller spi_busnum_to_master spi_register_controller __spi_register_driver spi_sync spi_unregister_controller spi_unregister_device split_page sprintf sscanf __stack_chk_fail start_tty stop_tty strcasecmp strcat strchr strcmp strcpy strim strlcat strlcpy strlen strncasecmp strncmp strncpy strnlen strpbrk strsep strstr __sw_hweight16 __sw_hweight32 __sw_hweight64 __sw_hweight8 sync_file_create sync_file_get_fence synchronize_irq synchronize_net synchronize_rcu syscon_node_to_regmap sysfs_create_file_ns sysfs_create_group sysfs_create_link sysfs_emit __sysfs_match_string sysfs_remove_file_ns sysfs_remove_group sysfs_remove_link sysfs_streq sysrq_mask system_freezable_wq system_freezing_cnt system_power_efficient_wq system_wq tasklet_init tasklet_kill __tasklet_schedule tasklet_setup tasklet_unlock_wait __task_pid_nr_ns time64_to_tm trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_printf trace_event_raw_init trace_event_reg trace_handle_return __traceiter_android_vh_dmabuf_heap_flags_validation __traceiter_android_vh_dma_buf_release __traceiter_android_vh_meminfo_proc_show __traceiter_android_vh_show_mem __traceiter_gpu_mem_total __traceiter_mmap_lock_acquire_returned __traceiter_mmap_lock_released __traceiter_mmap_lock_start_locking __tracepoint_android_vh_dmabuf_heap_flags_validation __tracepoint_android_vh_dma_buf_release __tracepoint_android_vh_meminfo_proc_show __tracepoint_android_vh_page_cache_forced_ra __tracepoint_android_vh_show_mem __tracepoint_gpu_mem_total __tracepoint_mmap_lock_acquire_returned __tracepoint_mmap_lock_released __tracepoint_mmap_lock_start_locking tracepoint_probe_register trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq trace_raw_output_prep trace_seq_printf trace_seq_putc trace_set_clr_event try_module_get __tty_alloc_driver tty_driver_kref_put tty_flip_buffer_push tty_hangup __tty_insert_flip_char tty_insert_flip_string_fixed_flag tty_kref_put tty_ldisc_deref tty_ldisc_ref tty_mode_ioctl tty_port_close tty_port_destroy tty_port_hangup tty_port_init tty_port_link_device tty_port_open tty_port_register_device tty_port_tty_get tty_port_tty_hangup tty_port_tty_wakeup tty_register_driver tty_register_ldisc tty_standard_install tty_std_termios tty_termios_baud_rate tty_termios_copy_hw tty_termios_encode_baud_rate tty_unregister_device tty_unregister_driver tty_unregister_ldisc tty_unthrottle tty_vhangup typec_register_partner typec_register_port typec_set_data_role typec_set_pwr_role typec_set_vconn_role typec_unregister_partner uart_add_one_port uart_console_device uart_console_write uart_get_baud_rate uart_get_divisor uart_handle_cts_change uart_handle_dcd_change uart_insert_char uart_parse_options uart_register_driver uart_resume_port uart_set_options uart_suspend_port uart_try_toggle_sysrq uart_unregister_driver uart_update_timeout uart_write_wakeup __ubsan_handle_cfi_check_fail_abort __udelay unlock_page unmap_mapping_range unpin_user_pages unregister_blkdev __unregister_chrdev unregister_chrdev_region unregister_console unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdevice_many unregister_netdevice_notifier unregister_netdevice_queue unregister_pernet_device unregister_pm_notifier unregister_reboot_notifier unregister_shrinker unregister_syscore_ops up update_devfreq up_read up_write usb_add_gadget_udc usb_add_hcd usb_alloc_urb usb_amd_dev_put usb_amd_prefetch_quirk usb_amd_quirk_pll_check usb_amd_quirk_pll_disable usb_amd_quirk_pll_enable usb_anchor_urb usb_autopm_get_interface usb_autopm_get_interface_async usb_autopm_get_interface_no_resume usb_autopm_put_interface usb_autopm_put_interface_async usb_calc_bus_time usb_control_msg usb_create_hcd usb_debug_root usb_del_gadget_udc usb_deregister usb_disabled usb_driver_claim_interface usb_driver_release_interface usb_free_urb usb_get_dev usb_get_from_anchor usb_get_intf usb_hcd_check_unlink_urb usb_hcd_giveback_urb usb_hc_died usb_hcd_link_urb_to_ep usb_hcd_pci_pm_ops usb_hcd_pci_probe usb_hcd_pci_remove usb_hcd_pci_shutdown usb_hcd_platform_shutdown usb_hcd_poll_rh_status usb_hcd_resume_root_hub usb_hcds_loaded usb_hcd_unlink_urb_from_ep usb_kill_urb usb_match_id usb_match_one_id usb_poison_urb usb_put_dev usb_put_hcd usb_put_intf usb_register_driver usb_remove_hcd usb_root_hub_lost_power usb_show_dynids usb_store_new_id usb_submit_urb usb_unlink_urb usb_unpoison_urb __usecs_to_jiffies usleep_range_state uuid_null v4l2_ctrl_cluster v4l2_ctrl_get_name v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_new_custom v4l2_ctrl_new_int_menu v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_subdev_subscribe_event v4l2_ctrl_subscribe_event v4l2_device_register v4l2_device_register_subdev __v4l2_device_register_subdev_nodes v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_queue v4l2_event_subdev_unsubscribe v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_open v4l2_g_ctrl v4l2_i2c_new_subdev v4l2_i2c_subdev_init v4l2_s_ctrl v4l2_spi_new_subdev v4l2_spi_subdev_init v4l2_subdev_call_wrappers v4l2_subdev_init v4l_bound_align_image vabits_actual vb2_buffer_done vb2_dma_contig_memops vb2_dma_contig_set_max_seg_size vb2_fop_mmap vb2_fop_poll vb2_fop_read vb2_fop_release vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_queue_init vb2_queue_release vchan_dma_desc_free_list vchan_find_desc vchan_init vchan_tx_desc_free vchan_tx_submit verify_pkcs7_signature vfree video_devdata video_device_release_empty video_ioctl2 __video_register_device video_unregister_device vmalloc vmalloc_to_page vmap vmf_insert_mixed vm_get_page_prot vm_insert_page vm_iomap_memory vm_map_ram vm_unmap_ram vsnprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_timeout __wake_up wake_up_process wakeup_source_add wakeup_source_create wakeup_source_destroy wakeup_source_register wakeup_source_remove wakeup_source_unregister __warn_printk wireless_nlevent_flush __xa_alloc xa_erase xa_load [abi_symbol_list] __hid_register_driver __hid_request hid_add_device hid_alloc_report_buf hid_allocate_device hid_destroy_device hid_hw_start hid_hw_stop hid_input_report hid_open_report hid_parse_report hid_report_raw_event hid_unregister_driver hidinput_calc_abs_res