aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorBill Yi <byi@google.com>2017-10-24 12:04:16 -0700
committerBill Yi <byi@google.com>2017-10-24 12:04:16 -0700
commite3e1107fc64daad1e5950479951b8627cb2251e8 (patch)
tree6236084b64e2fac1395c14e1cd31f07631bdd17c
parente310136b55af96d9802827af35f105db1f7721d0 (diff)
parentafa9ac7d749bedcedc9896de3bc8852f74538006 (diff)
downloadtrappy-e3e1107fc64daad1e5950479951b8627cb2251e8.tar.gz
Merge remote-tracking branch 'goog/stage-aosp-master' into HEAD
-rw-r--r--.dir-locals.el5
-rw-r--r--.gitignore5
-rw-r--r--.travis.yml29
-rw-r--r--LICENSE202
-rw-r--r--README.md69
-rw-r--r--doc/00 - Quick start.ipynb168
-rw-r--r--doc/BarPlotter.ipynb177
-rw-r--r--doc/BareTrace class.ipynb96
-rw-r--r--doc/Displaying results.ipynb425
-rw-r--r--doc/Dynamic traces.ipynb275
-rw-r--r--doc/FTrace class.ipynb2178
-rw-r--r--doc/InteractivePlotter.ipynb609
-rw-r--r--doc/Plotter.ipynb604
-rw-r--r--doc/Stats.ipynb904
-rw-r--r--doc/api_reference/.gitignore3
-rw-r--r--doc/api_reference/Makefile196
-rw-r--r--doc/api_reference/conf.py381
-rw-r--r--doc/api_reference/index.rst22
-rw-r--r--doc/compare runs.ipynb191
-rw-r--r--doc/sample_trace.csv7
-rw-r--r--doc/summary plots.ipynb165
-rw-r--r--doc/trace.txt811
-rw-r--r--doc/trace_stats.datbin0 -> 2437120 bytes
-rwxr-xr-xhooks/pre-commit29
-rwxr-xr-xscripts/publish_interactive_plots.py126
-rw-r--r--setup.cfg6
-rw-r--r--setup.py74
-rw-r--r--tests/WA_sysfs_extract.tar.xzbin0 -> 17288 bytes
-rw-r--r--tests/constrained.csv147
-rw-r--r--tests/raw_trace.datbin0 -> 2437120 bytes
-rw-r--r--tests/raw_trace.raw.txt7
-rw-r--r--tests/raw_trace.txt7
-rw-r--r--tests/results.csv161
-rw-r--r--tests/test_baretrace.py90
-rw-r--r--tests/test_base.py215
-rw-r--r--tests/test_constraint.py196
-rw-r--r--tests/test_copyright.py104
-rw-r--r--tests/test_cpu_power.py145
-rw-r--r--tests/test_devfreq.py50
-rw-r--r--tests/test_duplicates.py96
-rw-r--r--tests/test_dynamic.py127
-rw-r--r--tests/test_ftrace.py516
-rw-r--r--tests/test_idle.py68
-rw-r--r--tests/test_pid.py45
-rw-r--r--tests/test_plot_utils.py255
-rw-r--r--tests/test_plotter.py380
-rw-r--r--tests/test_results.py135
-rw-r--r--tests/test_sched.py179
-rw-r--r--tests/test_stats.py363
-rw-r--r--tests/test_stats_grammar.py256
-rw-r--r--tests/test_systrace.py87
-rw-r--r--tests/test_thermal.py181
-rw-r--r--tests/test_trappy.py135
-rw-r--r--tests/test_utils.py59
-rw-r--r--tests/test_wa_sysfs_extractor.py61
-rw-r--r--tests/trace.datbin0 -> 2523136 bytes
-rw-r--r--tests/trace_empty.txt85
-rw-r--r--tests/trace_equals.txt7
-rw-r--r--tests/trace_idle.datbin0 -> 3301376 bytes
-rw-r--r--tests/trace_legacy_systrace.html52
-rw-r--r--tests/trace_sched.txt13
-rw-r--r--tests/trace_systrace.html39
l---------tests/trappy1
-rw-r--r--tests/unconstrained.csv147
-rw-r--r--tests/utils_tests.py52
-rw-r--r--trappy/__init__.py54
-rw-r--r--trappy/bare_trace.py142
-rw-r--r--trappy/base.py273
-rw-r--r--trappy/compare_runs.py107
-rw-r--r--trappy/cpu_power.py198
-rw-r--r--trappy/devfreq_power.py75
-rw-r--r--trappy/dynamic.py153
-rw-r--r--trappy/ftrace.py605
-rw-r--r--trappy/idle.py32
-rw-r--r--trappy/nbexport/__init__.py25
-rw-r--r--trappy/nbexport/exporter.py103
-rw-r--r--trappy/pid_controller.py60
-rw-r--r--trappy/plot_utils.py322
-rw-r--r--trappy/plotter/AbstractDataPlotter.py126
-rw-r--r--trappy/plotter/AttrConf.py129
-rw-r--r--trappy/plotter/BarPlot.py120
-rw-r--r--trappy/plotter/ColorMap.py80
-rw-r--r--trappy/plotter/Constraint.py386
-rw-r--r--trappy/plotter/EventPlot.py282
-rw-r--r--trappy/plotter/ILinePlot.py314
-rw-r--r--trappy/plotter/ILinePlotGen.py273
-rw-r--r--trappy/plotter/IPythonConf.py206
-rw-r--r--trappy/plotter/LinePlot.py172
-rw-r--r--trappy/plotter/PlotLayout.py153
-rw-r--r--trappy/plotter/StaticPlot.py289
-rw-r--r--trappy/plotter/Utils.py97
-rw-r--r--trappy/plotter/__init__.py83
-rw-r--r--trappy/plotter/css/EventPlot.css82
-rw-r--r--trappy/plotter/css/EventPlot_help.jpgbin0 -> 120735 bytes
-rw-r--r--trappy/plotter/js/EventPlot.js1065
-rw-r--r--trappy/plotter/js/ILinePlot.js173
-rw-r--r--trappy/sched.py137
-rw-r--r--trappy/stats/Aggregator.py174
-rw-r--r--trappy/stats/Correlator.py231
-rw-r--r--trappy/stats/Indexer.py102
-rw-r--r--trappy/stats/StatConf.py28
-rw-r--r--trappy/stats/Topology.py179
-rw-r--r--trappy/stats/Trigger.py130
-rw-r--r--trappy/stats/__init__.py22
-rw-r--r--trappy/stats/grammar.py580
-rw-r--r--trappy/systrace.py80
-rw-r--r--trappy/thermal.py310
-rw-r--r--trappy/utils.py104
-rw-r--r--trappy/version.py16
-rw-r--r--trappy/wa/__init__.py26
-rw-r--r--trappy/wa/results.py166
-rw-r--r--trappy/wa/sysfs_extractor.py93
112 files changed, 20775 insertions, 0 deletions
diff --git a/.dir-locals.el b/.dir-locals.el
new file mode 100644
index 0000000..4aacf85
--- /dev/null
+++ b/.dir-locals.el
@@ -0,0 +1,5 @@
+((nil . ((eval . (progn
+ (require 'projectile)
+ (puthash (projectile-project-root)
+ "nosetests"
+ projectile-test-cmd-map))))))
diff --git a/.gitignore b/.gitignore
new file mode 100644
index 0000000..4219856
--- /dev/null
+++ b/.gitignore
@@ -0,0 +1,5 @@
+*.pyc
+doc/.ipynb_checkpoints
+/dist/
+/build/
+/TRAPpy.egg-info/
diff --git a/.travis.yml b/.travis.yml
new file mode 100644
index 0000000..2886473
--- /dev/null
+++ b/.travis.yml
@@ -0,0 +1,29 @@
+language: python
+python:
+ - "2.7"
+before_install:
+ - sudo apt-get update -qq
+ - sudo apt-get install -qq libfreetype6-dev
+ - sudo apt-get install -qq libpng12-dev
+ - wget http://ftp.us.debian.org/debian/pool/main/t/trace-cmd/trace-cmd_2.4.0-1_amd64.deb
+ - sudo dpkg -i trace-cmd_2.4.0-1_amd64.deb
+install:
+ - pip install matplotlib
+ - pip install Cython --install-option="--no-cython-compile"
+ - pip install pandas
+ # IPython 6.0.0 requires Python 3.3. Use an older version so we can keep using
+ # Python 2.7
+ - pip install "ipython[all]<6.0.0"
+env:
+ - MPLBACKEND=agg
+script: nosetests
+virtualenv:
+ system_site_packages: true
+notifications:
+ email:
+ recipients:
+ - javi.merino@arm.com
+ on_success: never
+ on_failure: always
+cache:
+ - pip
diff --git a/LICENSE b/LICENSE
new file mode 100644
index 0000000..d645695
--- /dev/null
+++ b/LICENSE
@@ -0,0 +1,202 @@
+
+ Apache License
+ Version 2.0, January 2004
+ http://www.apache.org/licenses/
+
+ TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION
+
+ 1. Definitions.
+
+ "License" shall mean the terms and conditions for use, reproduction,
+ and distribution as defined by Sections 1 through 9 of this document.
+
+ "Licensor" shall mean the copyright owner or entity authorized by
+ the copyright owner that is granting the License.
+
+ "Legal Entity" shall mean the union of the acting entity and all
+ other entities that control, are controlled by, or are under common
+ control with that entity. For the purposes of this definition,
+ "control" means (i) the power, direct or indirect, to cause the
+ direction or management of such entity, whether by contract or
+ otherwise, or (ii) ownership of fifty percent (50%) or more of the
+ outstanding shares, or (iii) beneficial ownership of such entity.
+
+ "You" (or "Your") shall mean an individual or Legal Entity
+ exercising permissions granted by this License.
+
+ "Source" form shall mean the preferred form for making modifications,
+ including but not limited to software source code, documentation
+ source, and configuration files.
+
+ "Object" form shall mean any form resulting from mechanical
+ transformation or translation of a Source form, including but
+ not limited to compiled object code, generated documentation,
+ and conversions to other media types.
+
+ "Work" shall mean the work of authorship, whether in Source or
+ Object form, made available under the License, as indicated by a
+ copyright notice that is included in or attached to the work
+ (an example is provided in the Appendix below).
+
+ "Derivative Works" shall mean any work, whether in Source or Object
+ form, that is based on (or derived from) the Work and for which the
+ editorial revisions, annotations, elaborations, or other modifications
+ represent, as a whole, an original work of authorship. For the purposes
+ of this License, Derivative Works shall not include works that remain
+ separable from, or merely link (or bind by name) to the interfaces of,
+ the Work and Derivative Works thereof.
+
+ "Contribution" shall mean any work of authorship, including
+ the original version of the Work and any modifications or additions
+ to that Work or Derivative Works thereof, that is intentionally
+ submitted to Licensor for inclusion in the Work by the copyright owner
+ or by an individual or Legal Entity authorized to submit on behalf of
+ the copyright owner. For the purposes of this definition, "submitted"
+ means any form of electronic, verbal, or written communication sent
+ to the Licensor or its representatives, including but not limited to
+ communication on electronic mailing lists, source code control systems,
+ and issue tracking systems that are managed by, or on behalf of, the
+ Licensor for the purpose of discussing and improving the Work, but
+ excluding communication that is conspicuously marked or otherwise
+ designated in writing by the copyright owner as "Not a Contribution."
+
+ "Contributor" shall mean Licensor and any individual or Legal Entity
+ on behalf of whom a Contribution has been received by Licensor and
+ subsequently incorporated within the Work.
+
+ 2. Grant of Copyright License. Subject to the terms and conditions of
+ this License, each Contributor hereby grants to You a perpetual,
+ worldwide, non-exclusive, no-charge, royalty-free, irrevocable
+ copyright license to reproduce, prepare Derivative Works of,
+ publicly display, publicly perform, sublicense, and distribute the
+ Work and such Derivative Works in Source or Object form.
+
+ 3. Grant of Patent License. Subject to the terms and conditions of
+ this License, each Contributor hereby grants to You a perpetual,
+ worldwide, non-exclusive, no-charge, royalty-free, irrevocable
+ (except as stated in this section) patent license to make, have made,
+ use, offer to sell, sell, import, and otherwise transfer the Work,
+ where such license applies only to those patent claims licensable
+ by such Contributor that are necessarily infringed by their
+ Contribution(s) alone or by combination of their Contribution(s)
+ with the Work to which such Contribution(s) was submitted. If You
+ institute patent litigation against any entity (including a
+ cross-claim or counterclaim in a lawsuit) alleging that the Work
+ or a Contribution incorporated within the Work constitutes direct
+ or contributory patent infringement, then any patent licenses
+ granted to You under this License for that Work shall terminate
+ as of the date such litigation is filed.
+
+ 4. Redistribution. You may reproduce and distribute copies of the
+ Work or Derivative Works thereof in any medium, with or without
+ modifications, and in Source or Object form, provided that You
+ meet the following conditions:
+
+ (a) You must give any other recipients of the Work or
+ Derivative Works a copy of this License; and
+
+ (b) You must cause any modified files to carry prominent notices
+ stating that You changed the files; and
+
+ (c) You must retain, in the Source form of any Derivative Works
+ that You distribute, all copyright, patent, trademark, and
+ attribution notices from the Source form of the Work,
+ excluding those notices that do not pertain to any part of
+ the Derivative Works; and
+
+ (d) If the Work includes a "NOTICE" text file as part of its
+ distribution, then any Derivative Works that You distribute must
+ include a readable copy of the attribution notices contained
+ within such NOTICE file, excluding those notices that do not
+ pertain to any part of the Derivative Works, in at least one
+ of the following places: within a NOTICE text file distributed
+ as part of the Derivative Works; within the Source form or
+ documentation, if provided along with the Derivative Works; or,
+ within a display generated by the Derivative Works, if and
+ wherever such third-party notices normally appear. The contents
+ of the NOTICE file are for informational purposes only and
+ do not modify the License. You may add Your own attribution
+ notices within Derivative Works that You distribute, alongside
+ or as an addendum to the NOTICE text from the Work, provided
+ that such additional attribution notices cannot be construed
+ as modifying the License.
+
+ You may add Your own copyright statement to Your modifications and
+ may provide additional or different license terms and conditions
+ for use, reproduction, or distribution of Your modifications, or
+ for any such Derivative Works as a whole, provided Your use,
+ reproduction, and distribution of the Work otherwise complies with
+ the conditions stated in this License.
+
+ 5. Submission of Contributions. Unless You explicitly state otherwise,
+ any Contribution intentionally submitted for inclusion in the Work
+ by You to the Licensor shall be under the terms and conditions of
+ this License, without any additional terms or conditions.
+ Notwithstanding the above, nothing herein shall supersede or modify
+ the terms of any separate license agreement you may have executed
+ with Licensor regarding such Contributions.
+
+ 6. Trademarks. This License does not grant permission to use the trade
+ names, trademarks, service marks, or product names of the Licensor,
+ except as required for reasonable and customary use in describing the
+ origin of the Work and reproducing the content of the NOTICE file.
+
+ 7. Disclaimer of Warranty. Unless required by applicable law or
+ agreed to in writing, Licensor provides the Work (and each
+ Contributor provides its Contributions) on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied, including, without limitation, any warranties or conditions
+ of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A
+ PARTICULAR PURPOSE. You are solely responsible for determining the
+ appropriateness of using or redistributing the Work and assume any
+ risks associated with Your exercise of permissions under this License.
+
+ 8. Limitation of Liability. In no event and under no legal theory,
+ whether in tort (including negligence), contract, or otherwise,
+ unless required by applicable law (such as deliberate and grossly
+ negligent acts) or agreed to in writing, shall any Contributor be
+ liable to You for damages, including any direct, indirect, special,
+ incidental, or consequential damages of any character arising as a
+ result of this License or out of the use or inability to use the
+ Work (including but not limited to damages for loss of goodwill,
+ work stoppage, computer failure or malfunction, or any and all
+ other commercial damages or losses), even if such Contributor
+ has been advised of the possibility of such damages.
+
+ 9. Accepting Warranty or Additional Liability. While redistributing
+ the Work or Derivative Works thereof, You may choose to offer,
+ and charge a fee for, acceptance of support, warranty, indemnity,
+ or other liability obligations and/or rights consistent with this
+ License. However, in accepting such obligations, You may act only
+ on Your own behalf and on Your sole responsibility, not on behalf
+ of any other Contributor, and only if You agree to indemnify,
+ defend, and hold each Contributor harmless for any liability
+ incurred by, or claims asserted against, such Contributor by reason
+ of your accepting any such warranty or additional liability.
+
+ END OF TERMS AND CONDITIONS
+
+ APPENDIX: How to apply the Apache License to your work.
+
+ To apply the Apache License to your work, attach the following
+ boilerplate notice, with the fields enclosed by brackets "[]"
+ replaced with your own identifying information. (Don't include
+ the brackets!) The text should be enclosed in the appropriate
+ comment syntax for the file format. We also recommend that a
+ file or class name and description of purpose be included on the
+ same "printed page" as the copyright notice for easier
+ identification within third-party archives.
+
+ Copyright [yyyy] [name of copyright owner]
+
+ Licensed under the Apache License, Version 2.0 (the "License");
+ you may not use this file except in compliance with the License.
+ You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ See the License for the specific language governing permissions and
+ limitations under the License.
diff --git a/README.md b/README.md
new file mode 100644
index 0000000..a62d731
--- /dev/null
+++ b/README.md
@@ -0,0 +1,69 @@
+TRAPpy [![Build Status](https://travis-ci.org/ARM-software/trappy.svg?branch=master)](https://travis-ci.org/ARM-software/trappy) [![Version](https://img.shields.io/pypi/v/trappy.svg)](https://pypi.python.org/pypi/trappy)
+======
+
+TRAPpy (Trace Analysis and Plotting in Python) is a visualization tool to help
+analyze data generated on a device. It parses ftrace-like logs and creates
+in-memory data structures to be used for plotting and data analysis.
+
+# Installation
+
+The following instructions are for Ubuntu 14.04 LTS but they should
+also work with Debian jessie. Older versions of Ubuntu or Debian
+(e.g. Ubuntu 12.04 or Debian wheezy) will likely require to install
+more packages from pip as the ones present in Ubuntu 12.04 or Debian
+wheezy will probably be too old.
+
+## Required dependencies
+
+##### Install additional tools required for some tests and functionalities
+
+ $ sudo apt install trace-cmd kernelshark
+
+##### Install the Python package manager
+
+ $ sudo apt install python-pip python-dev
+
+##### Install required python packages
+
+ $ sudo apt install libfreetype6-dev libpng12-dev python-nose
+ $ sudo pip install numpy matplotlib pandas ipython[all]
+
+##### Install TRAPpy
+
+ $ sudo pip install --upgrade trappy
+
+# Quickstart
+
+Now launch the ipython notebook server:
+
+ $ ipython notebook
+
+This should pop up a browser. If it doesn't, open a web browser and go
+to http://localhost:8888/tree/
+
+In the `doc/` folder there's a `00 - Quick start` which describes how to
+run TRAPpy. Other notebooks in that directory describe other functions
+of TRAPpy.
+
+# Documentation
+
+API reference can be found in https://pythonhosted.org/TRAPpy/
+
+# For developers
+
+## Clone the repository
+
+The code of the TRAPpy toolkit with all the supported tests and
+Notebooks can be cloned from the official GitHub repository with this
+command:
+
+ $ git clone https://github.com/ARM-software/trappy.git
+
+## Testing your installation
+
+An easy way to test your installation is to use the `nosetests` command from
+TRAPpy's home directory:
+
+ $ nosetests
+
+If the installation is correct all tests will succeed.
diff --git a/doc/00 - Quick start.ipynb b/doc/00 - Quick start.ipynb
new file mode 100644
index 0000000..ff804ae
--- /dev/null
+++ b/doc/00 - Quick start.ipynb
@@ -0,0 +1,168 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:720461edd626c0943c76f621fa2a8f74512d5a5d5ab9e4f730e70cb2abca6609"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Quick Start"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "To analyze a `trace.txt` that you have as the result of a run, you need to know the cpu mask of the clusters and the order of the actors as seen by the power allocator governor. This is platform specific information that can't be automatically guessed by `trappy`, so we need to tell it about them. For the cpumasks, look at the trace to know the masks, for example:\n",
+ " \n",
+ " $ grep -o '\\Wcpus=[0-9a-f,]\\+' trace.txt | sort -u\n",
+ " cpus=00000000,00000006\n",
+ " cpus=00000000,00000039\n",
+ " \n",
+ "For this system we know that cpus 1-2 are the A57 cluster and cpus 0,3,4,5 are the A53 cluster. We call this the `map_label` and for this system that is:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "map_label = {\"00000000,00000006\": \"A57\", \"00000000,00000039\": \"A53\"}"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We will need this later. We also need to know how many actors are in the system and their order. We can get the number of actors from the trace:\n",
+ "\n",
+ " $ grep -m 1 -o 'req_power={[0-9 ]\\+}' trace.txt\n",
+ " req_power={0 1581 4112}\n",
+ "\n",
+ "This tells us that there are three actors. We need to guess which one they are and their order, you can usually do that by looking at the data. For this example, we'll assume the first one is the GPU and the others the A57 and A53 cluster:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "actor_order = [\"GPU\", \"A57\", \"A53\"]"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "To analyze the example `trace.txt` that is in the current folder add the following to a cell in an ipython notebook. Substitute `map_label` and `actor_order` with the ones you calculated before:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline\n",
+ "import sys\n",
+ "sys.path.append(\"..\")\n",
+ "import trappy\n",
+ "\n",
+ "map_label = {\"00000000,00000006\": \"A57\", \"00000000,00000039\": \"A53\"}\n",
+ "actor_order = [\"GPU\", \"A57\", \"A53\"]\n",
+ "\n",
+ "trappy.summary_plots(actor_order, map_label, path=\"./trace.txt\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "output_type": "stream",
+ "stream": "stdout",
+ "text": [
+ "Populating the interactive namespace from numpy and matplotlib\n"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZgAAAF7CAYAAABfMu77AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24f2a28c10>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZQAAALjCAYAAACF04DGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24cd7c2590>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZoAAARLCAYAAAANhRbMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAAAAAAAw2b8AkAfJctFwmRgAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24cd39b350>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZsAAAF7CAYAAAC0BVX4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkxMEDGBq93AAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24ccc5af90>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZoAAAF7CAYAAABbxz7GAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24cc445790>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZsAAAF7CAYAAAC0BVX4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24cc07a450>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAtMAAAozCAYAAADxX9vUAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24cbda5dd0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAscAAAHDCAYAAADIj7elAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f24cb820990>"
+ ]
+ }
+ ],
+ "prompt_number": 3
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/BarPlotter.ipynb b/doc/BarPlotter.ipynb
new file mode 100644
index 0000000..0159075
--- /dev/null
+++ b/doc/BarPlotter.ipynb
@@ -0,0 +1,177 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:f9a4dac23e4eb9ae2d6a54b24b420bc7ab1c50118b6f10b8e1bf6d90ae86657b"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline\n",
+ "import pandas as pd\n",
+ "import trappy"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "dfr = pd.DataFrame({\"foo\": [1, 2, 3], \"bar\": [2, 3, 1], \"baz\":[3, 2, 1]})"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Simple bar plot of one column"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.BarPlot(dfr, column=\"foo\").view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA94AAAIZCAYAAABQ9+eTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f4ccb7c04d0>"
+ ]
+ }
+ ],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Multiple columns"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.BarPlot(dfr, column=[\"foo\", \"bar\"], title=\"Multiple columns\").view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA94AAAJCCAYAAAA2vh+nAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3X2UXWV9L/DvTAKTkEjiBEIkARIklEBvKxhFZPEaYpPY\nCor0NlgEoXIXKEq9QgpoS7UQIRKJglDLayvVtt5rvVZQITVg5XI1JakKahqRlwgSyGQC5AUymXP/\nwEwdk5xMSJ5sT/bnsxZrcebsc+aZ8PDb+c7Z33PaGo1GIwAAAEAR7VUvAAAAAHZlgjcAAAAUJHgD\nAABAQYI3AAAAFCR4AwAAQEGCNwAAABQkeAPwG2n8+PFpb2/Pvffe+4oef/zxx6e9vT233377b9S6\nWkEdfkYA2JkEbwCSJGeddVba29vT3t6e3XffPc8880zT47/yla/0HV8i4CZJW1tb2tra+n3tscce\ny+WXX5558+YN+Dl2xrp2NXX4GQFgZxG8AdhET09P/v7v/77pMb8etEuEtEajscnXfvazn+VjH/vY\nVoP3AQcckEMOOSQjRozYKeva1dThZwSAnUXwBqCf/fffP0nyt3/7t1s8pqurK1/72tcyfPjwdHZ2\n7qylJRl4wL/99tvz8MMP5+STTy68IgCA5gRvAPo56qij8trXvjaLFi3Kww8/vNljvvjFL2b9+vV5\n5zvfmaFDh+7U9XklFgBoNYI3AJs444wzkmz5Ve+//du/TVtbW84444wtBuEFCxakvb09EyZM2OL3\nue2229Le3p4TTjhhQOsaP358TjzxxCTJo48+2q9j/us98y29udqvr+urX/1qTjjhhLz61a/O8OHD\n8+Y3vzlf+MIXBrSezXnppZdy3XXX5ZhjjklnZ2c6OjpywAEH5JxzzsmPf/zjV/y8SfLAAw/k3e9+\nd8aPH58hQ4Zkr732yhFHHJFLL700S5Ys2exjvvWtb+Ud73hHxowZk9133z1jxozJO97xjnzrW9/a\n5u9/+eWXp729Pe95z3u2eMzG9wr4y7/8y35f//U/92984xs56aST0tnZmZEjR+akk07K/fff33f8\nqlWrctlll+Xggw/O0KFDs99+++Xiiy/O2rVrN/t9N+6Bxx9/PI8//nje+973Zty4ceno6MiECRNy\n0UUX5fnnn9/sY1966aXMmzcvb37zmzNy5Mjstttu2WefffK7v/u7ef/7358HHnhgW/+oAKCfwVUv\nAIDfLBsD9eWXX5477rgjs2fP7nd595IlS/Ld7343+++/f44//vgBPd+OOCZJRo8enRdeeCFdXV1p\nb2/P6NGj+92/xx57DPi529racu211+ZDH/pQ2tvbM2LEiLz44ot54IEH8sADD+T+++/PZz7zmQGt\na6Onnnoq06dPz/e///0kyaBBgzJs2LAsW7Yst956a77whS/kjjvuyNvf/vZtet4kmTVrVubMmdO3\n9j333DM9PT1ZvHhxFi9enKeeeiq33nprv8d85CMfyZVXXpkkfT/js88+m3/+53/OP//zP+fP/uzP\n+u7fFtvz37StrS2f/exn8/73vz+DBg3K8OHD8/zzz+df//Vf82//9m/5+te/nkmTJmXKlCl5+OGH\nM3z48CTJz3/+83zyk5/MD3/4w9x5551bfO7FixfnPe95T1auXJk999wzyctvyHfNNdfk3nvvzf33\n35/Bg//rrz89PT15y1vekvvuuy/Jf/05rVy5Ms8880x+8IMfZMWKFXnTm960TX9GAPCrvOINwCYm\nTJiQo48+Oj//+c8zf/78fvdtfBX8Xe96105/1+vvfve7+V//638lebmL/uSTT/b757TTThvwcy1f\nvjyzZs3KmWeemaeeeiorVqzIM888k//5P/9nkuT666/fple+169fn5NPPjnf//73c9JJJ+X//t//\nm3Xr1qW7uzs///nPc+GFF2bdunU544wz8sgjj2zTzz1nzpzMmTMnbW1ted/73pdHH300K1euTHd3\nd5588snceOONOfjgg/s95otf/GKuvPLKtLW15YILLsjy5cuzYsWKLF++PBdccEGS5BOf+ETuuOOO\nbVrL9lq+fHk+9KEP5bLLLsuKFSuycuXKPPLIIznqqKPy0ksv5U//9E/zJ3/yJ+nt7c2//du/5bnn\nnstzzz2Xm266KYMHD87Xv/71fO1rX9vsczcajZx11lk54ogj8sMf/jDd3d15/vnnc/PNN6ejoyML\nFy7M3/zN3/R7zN///d/nvvvuy7Bhw/L5z38+a9asyYoVK/Liiy/msccey3XXXZfXve51O+OPBoBd\nmOANwGa9+93vTpL83d/9Xd/XGo1GPv/5z6etra3v/p1tR3W816xZkxNOOCG33npr9t577yTJyJEj\nM2fOnJx55plJkr/4i78Y8PPdfvvtWbhwYY499tjcddddOfLIIzNo0KAkyZgxYzJ37tz8j//xP7Jm\nzZp86lOfGvDzPvvss7n88suTJJdcckk+85nPZL/99uu7f8yYMTn33HNzySWX9H2t0Wjkox/9aJLk\nj/7ojzJv3ry+N8Hr7OzMvHnzMnPmzCTJRz/60Z3am1+zZk3e9a535eMf/3jfK9IHHHBAvvjFL6at\nrS3/8R//kbvvvjv/8i//kje/+c1Jkt122y1nn312357b+MuXzRk3blzuvPPOHHrooUmS3XffPe95\nz3vy3ve+N0nypS99qd/xGy8jf/e7353TTz89u+++e5KXXz3fb7/9cv7552fWrFk78E8AgDoSvAHY\nrD/8wz9MR0dHvvzlL2fNmjVJknvvvTePP/54Jk+enN/6rd+qeIXbp62trV9Y/VWXXXZZkuSnP/1p\n/uM//mNAz7exS/7BD36wL3D/utNPPz1Jcs899wx4nV/60peydu3adHZ29oXprVm8eHF++tOfpq2t\nLR/5yEc2e8zGXyo89thj+e53vzvg9WyvLf2577fffjnooIOSJKeddloOPPDATY6ZMmVKkuShhx7a\n4vN/6EMfym677bbJ10855ZTNPnbjx809+eSTA/wJAGDbCd4AbNaIESPytre9LS+88ELfK4wbLzOv\n6tXuHWm33XbL0Ucfvdn7DjrooIwZMyaNRiMPPvjgVp+rp6enL7y+973vzZgxYzb7zzve8Y4kyeOP\nPz7gdW58RfaEE05IR0fHgB6zcc177713Jk2atNljDj744Oy7774D/hl3lCFDhvQF7F+3sbP/27/9\n203v7+7u3uz9bW1tecMb3rDZ+/bdd98kycqVK/t9ffr06UmSr3zlKzn55JPz5S9/OV1dXVv5KQBg\n2wjeAGzRxoD9+c9/PuvWrcuXvvSl7L777n2XKbeyvfbaq9+bbP26sWPHJnn5Uu+t6erqyvr165Ok\n7025NvfPxkC3bt26Aa/z6aefTvJfn68+EM8880y/n2FLxo0bl2RgP+OOss8++2zxvo1XCrzmNa9p\nev/GP+vNedWrXrXZrw8ZMiTJy78k+VXHHntsPvaxj2Xw4MH56le/mlNPPTV77bVXJk2alIsuuihL\nly7d8g8DAAMkeAOwRdOmTcvee++d+fPn5zOf+UxeeOGFTJ8+va8vzMt6e3uTvPyK66JFi7Jhw4Yt\n/tPb25sNGzbslHVtS8Cvs4985CNZsmRJZs+end/7vd/LiBEj8pOf/CTXXHNNDj300H7vcwAAr4Tg\nDcAWDRo0KDNnzkxvb29fV3jjZ3xvzcZXk5uFv1WrVm3/Il+hZ599dpNXP3/Vxs7vxjdea2bUqFFp\nb3/5lPrYY4/tmAX+0sZXiB999NEBP2bjJdlPPPFE0+OWLVuWZGA/Y/Kb/990e4wfPz6zZs3KXXfd\nlRUrVuRb3/pWjj322PT09OT888/vu4oAAF4JwRuApjZebr5+/fp0dnbmD/7gDwb0uFe/+tVJXv74\nqC1dGvy9731vm9ezMeBu7ztxr1+/Pvfff/9m71u6dGmeeuqptLW15Ygjjtjqc+222255wxvekEaj\nkbvuumu71vXrjjrqqCQvv7HdQF/B3rjm1atXb/HPeMmSJXnyyScH/DMmL7/re/Jfgf3XNRqN/Pu/\n//uAnus3WXt7e4477rj8y7/8SwYPHpw1a9Zk4cKFVS8LgBYmeAPQ1BFHHJHLL788H/7wh3Pttddu\n9h2jN+fggw/O7rvvnt7e3nz1q1/d5P6lS5c2/VioLdn4LtTb+8pqo9HI7NmzN3vfxq9PnDgxv/M7\nvzOg5zvrrLOSJLfddlu+//3vNz12S28OtjnvfOc7M3To0HR1deVjH/vYgB7zute9LgcddFAajUau\nvPLKzR6z8SPKxo8fnze+8Y0Det6Nfxbf+9738otf/GKT+++4444thvLfVM364rvttlsGDRqURqOR\nl156aSeuCoBdjeANwFb9+Z//ea6++ur88R//8YAfs9tuu/V9hNOf/umf5jvf+U4ajUZ6e3vzzW9+\nM1OnTs0ee+zR9Dna2to2+drEiRMzePDgdHd353//7/+9bT/Ir9hjjz0yf/78nHPOOX2XEXd3d2fW\nrFm59dZb09bW1hdOB7Kuc845J29605uybt26nHjiibnpppvy/PPP993/5JNP5vbbb88xxxyTefPm\nDXido0aN6vvor0984hO54IIL+l1C/tRTT2Xu3Ln5+Mc/3u9xf/VXf5Xk5Xfr/sAHPtD3xm4rVqzI\nBz7wgb7Pzd543EB+xqOPPjr77rtvXnzxxcycObPv8vc1a9bkr//6r3Puuef2XenQKs4444ycffbZ\n+eY3v9nvv9ejjz6aM888My+++GL22GOPHHPMMRWuEoBWJ3gDUMzs2bMzatSoPPHEEznmmGMyfPjw\nDBs2LNOmTUtnZ+cWg+1Gm7ucfI899uj7POx3vvOdGTlyZMaPH58JEyZs0yvoo0ePzic/+cnceuut\nGTNmTDo7OzNq1KjMmTMnbW1ted/73pc/+qM/GvC6Bg8enK985Ss5+uij09XVlXPPPTcjR47MqFGj\nMmzYsIwbNy7vec97cv/99/ddLj9QF198cS688MIkyfXXX58DDjggI0eOzIgRIzJ27Nh8+MMfzs9+\n9rN+j/nDP/zDvs8jv+6667L33nuns7Mzo0ePznXXXZe2trb82Z/92RbfoX5zP+OgQYNy3XXXpb29\nPffee28OPPDAjBgxIiNGjMh5552Xd73rXXnb2962TT/bjvRK6gcvvvhibrvttkybNi0jRozIq1/9\n6gwbNiwHHnhg/vEf/zGDBw/OX//1X3tDQQC2i+ANQJKXX+Hc3Kuc2/O4CRMm5P/9v/+XmTNnZvTo\n0Wk0Gtl///3zkY98JN/5zney5557vqLnvfHGG3PJJZdk0qRJWb9+fZ544ok8/vjjWb169Tb9PB/4\nwAfyf/7P/8lxxx2X5OVQf9RRR+Xzn/98Pv3pT2/zuvbee+/ce++9ueOOOzJjxozss88+Wb16dQYN\nGpRJkyblzDPPzD/+4z9m1qxZTde1OXPnzs19992X//7f/3vGjRuXF198MUOHDs3rX//6XHbZZX0h\n+1d9/OMfz/z583PyySdn9OjRWbNmTfbee++cfPLJueeee3LFFVds8894yimn5Jvf/GZOOOGE7Lnn\nnmk0GjniiCNyyy235G/+5m/6Hr+559yarf0329pzvJL9+4lPfCJXX311pk+fnoMOOig9PT1pNBo5\n6KCDcvbZZ+fBBx/Mu971rm1+XgD4VW2N7X13GgBoIQsWLMiJJ56Y8ePH55FHHql6OQBADXjFGwAA\nAAoSvAEAAKAgwRsAAAAKErwBqJVX8gZcAADbw5urAQAAQEFe8QYAAICCBG8AAAAoSPAGAACAggRv\nAAAAKEjwBgAAgIIEbwAAAChI8AYAAICCBG8AAAAoSPAGAACAggRvAAAAKEjwBgAAgIIEbwAAAChI\n8AYAAICCBG8AAAAoSPAGAACAggRvAAAAKGhwsztfeumlXH755Vm/fn16enryhje8Iaeffvomx91y\nyy1ZvHhxOjo6cv7552fChAnFFgwAAACtpK3RaDSaHfDiiy+mo6MjGzZsyJ//+Z/njDPOyCGHHNJ3\n/4MPPphvfOMbueSSS/Kf//mfue2223LFFVcUXzgAAAC0gq1eat7R0ZEk6enpSW9vb4YPH97v/oUL\nF+a4445LkkycODGrV69Od3d3gaUCAABA62l6qXmS9Pb2ZtasWXn66afzlre8JePGjet3f1dXV0aN\nGtV3e9SoUenq6srIkSN3/GoBAACgxWw1eLe3t2fOnDlZs2ZNrrjiijz00EM57LDD+h2zlavV+5k/\nf/62rxIAAAAqNnLkyLz+9a/f5sdtNXhvtMcee+Twww/PT3/6037Bu7OzMytWrOi7vWLFinR2djZ9\nrilTpmzzQgGgVdy19oXMGDqo6mWwk925dkOmDx2+9QN3QWvvuitDZ8yoehlUYO2dd2bo9OlVLwN2\nmlf6QnLTjvdzzz2X1atXJ3n5Hc5/8IMfbPKO5ZMnT859992XJFmyZEmGDRvmMnN+4yxYsKDqJVBD\n9h1QF97fhyo4z9JKmr7i3d3dneuvvz69vb1pNBo59thj89/+23/L3XffnSSZOnVqjjjiiCxatCgX\nXHBBhgwZkvPOO2+nLBwAAABaQdPgvf/+++eqq67a5OtTp07td/ucc87ZsauCHez444+vegnUkH0H\n1IWrHamC8yytZKsfJwYAAAC8coI3taADRBXsO6AudLypgvMsrUTwBgAAgIIEb2pBB4gq2HdAXeh4\nUwXnWVqJ4A0AAAAFCd7Ugg4QVbDvgLrQ8aYKzrO0EsEbAAAAChK8qQUdIKpg3wF1oeNNFZxnaSWC\nNwAAABQkeFMLOkBUwb4D6kLHmyo4z9JKBG8AAAAoSPCmFnSAqIJ9B9SFjjdVcJ6llQjeAAAAUJDg\nTS3oAFEF+w6oCx1vquA8SysRvAEAAKAgwZta0AGiCvYdUBc63lTBeZZWIngDAABAQYI3taADRBXs\nO6AudLypgvMsrUTwBgAAgIIEb2pBB4gq2HdAXeh4UwXnWVqJ4A0AAAAFCd7Ugg4QVbDvgLrQ8aYK\nzrO0EsEbAAAAChK8qQUdIKpg3wF1oeNNFZxnaSWCNwAAABQkeFMLOkBUwb4D6kLHmyo4z9JKBG8A\nAAAoSPCmFnSAqIJ9B9SFjjdVcJ6llQjeAAAAUJDgTS3oAFEF+w6oCx1vquA8SysRvAEAAKAgwZta\n0AGiCvYdUBc63lTBeZZWIngDAABAQYI3taADRBXsO6AudLypgvMsrUTwBgAAgIIEb2pBB4gq2HdA\nXeh4UwXnWVqJ4A0AAAAFCd7Ugg4QVbDvgLrQ8aYKzrO0EsEbAAAAChK8qQUdIKpg3wF1oeNNFZxn\naSWCNwAAABQkeFMLOkBUwb4D6kLHmyo4z9JKBG8AAAAoSPCmFnSAqIJ9B9SFjjdVcJ6llQjeAAAA\nUJDgTS3oAFEF+w6oCx1vquA8SysRvAEAAKAgwZta0AGiCvYdUBc63lTBeZZWIngDAABAQYI3taAD\nRBXsO6AudLypgvMsrUTwBgAAgIIEb2pBB4gq2HdAXeh4UwXnWVqJ4A0AAAAFCd7Ugg4QVbDvgLrQ\n8aYKzrO0EsEbAAAAChK8qQUdIKpg3wF1oeNNFZxnaSWCNwAAABQkeFMLOkBUwb4D6kLHmyo4z9JK\nBG8AAAAoSPCmFnSAqIJ9B9SFjjdVcJ6llQjeAAAAUJDgTS3oAFEF+w6oCx1vquA8SysRvAEAAKAg\nwZta0AGiCvYdUBc63lTBeZZWIngDAABAQYI3taADRBXsO6AudLypgvMsrWRwszufffbZXH/99Vm1\nalXa2toyZcqUzJgxo98xDz30UK6++urss88+SZIjjzwyp556arkVAwAAQAtpGrwHDx6cM888M+PH\nj8+6desya9as/M7v/E7GjRvX77hDDz00s2bNKrpQ2B46QFTBvgPqQsebKjjP0kqaXmo+cuTIjB8/\nPkkyZMiQjB07NitXrtzkuEajUWRxAAAA0OoG3PFevnx5Hn300UycOLHf19va2rJkyZJcdNFFmT17\ndpYtW7bDFwnbSweIKth3QF3oeFMF51laSdNLzTdat25d5s6dm7POOitDhgzpd9+ECRNyww03pKOj\nI4sWLcqcOXMyb968ps+3YMGCvktDNv4P47bbJW9v9JuyHrfrcXvx4sW/Uetxe+fepn66u1clQ4cn\nqX7/7ezbL7zwQqivqvZf1d/f7XrefqXaGlu5TrynpydXXXVVXve61+Wtb33rVp/wfe97X6666qoM\nHz58s/fPnz8/U6ZMeWWrBYAWcNfaFzJj6KCql8FOdufaDZk+dPN//9nVrb3rrgz9tTfgpR7W3nln\nhk6fXvUyYKd5pXm2vdmdjUYjN954Y8aOHbvF0N3d3d3X8V66dGmSbDF0AwAAQN00vdT8Jz/5Sb79\n7W9n//33z8UXX5wkmTlzZp599tkkydSpU/PAAw/k7rvvTnt7ezo6OvLBD36w/KphGy1YsMDln+x0\n9h1QF93d3Rla9SKoHedZWknT4H3IIYfkH/7hH5o+wbRp0zJt2rQduigAAADYVTS91Bx2FX4bShXs\nO6AufI43VXCepZUI3gAAAFCQ4E0t/PrHTsDOYN8BdeFzvKmC8yytRPAGAACAggRvakEHiCrYd0Bd\n6HhTBedZWongDQAAAAUJ3tSCDhBVsO+AutDxpgrOs7QSwRsAAAAKErypBR0gqmDfAXWh400VnGdp\nJYI3AAAAFCR4Uws6QFTBvgPqQsebKjjP0koEbwAAAChI8KYWdICogn0H1IWON1VwnqWVCN4AAABQ\nkOBNLegAUQX7DqgLHW+q4DxLKxG8AQAAoCDBm1rQAaIK9h1QFzreVMF5llYieAMAAEBBgje1oANE\nFew7oC50vKmC8yytRPAGAACAggRvakEHiCrYd0Bd6HhTBedZWongDQAAAAUJ3tSCDhBVsO+AutDx\npgrOs7QSwRsAAAAKErypBR0gqmDfAXWh400VnGdpJYI3AAAAFCR4Uws6QFTBvgPqQsebKjjP0koE\nbwAAAChI8KYWdICogn0H1IWON1VwnqWVCN4AAABQkOBNLegAUQX7DqgLHW+q4DxLKxG8AQAAoCDB\nm1rQAaIK9h1QFzreVMF5llYieAMAAEBBgje1oANEFew7oC50vKmC8yytRPAGAACAggRvakEHiCrY\nd0Bd6HhTBedZWongDQAAAAUJ3tSCDhBVsO+AutDxpgrOs7QSwRsAAAAKErypBR0gqmDfAXWh400V\nnGdpJYI3AAAAFCR4Uws6QFTBvgPqQsebKjjP0koEbwAAAChI8KYWdICogn0H1IWON1VwnqWVCN4A\nAABQkOBNLegAUQX7DqgLHW+q4DxLKxG8AQAAoCDBm1rQAaIK9h1QFzreVMF5llYieAMAAEBBgje1\noANEFew7oC50vKmC8yytRPAGAACAggRvakEHiCrYd0Bd6HhTBedZWongDQAAAAUJ3tSCDhBVsO+A\nutDxpgrOs7QSwRsAAAAKErypBR0gqmDfAXWh400VnGdpJYI3AAAAFCR4Uws6QFTBvgPqQsebKjjP\n0koEbwAAAChI8KYWdICogn0H1IWON1VwnqWVCN4AAABQkOBNLegAUQX7DqgLHW+q4DxLKxG8AQAA\noCDBm1rQAaIK9h1QFzreVMF5llYyuNmdzz77bK6//vqsWrUqbW1tmTJlSmbMmLHJcbfccksWL16c\njo6OnH/++ZkwYUKxBQMAAEArafqK9+DBg3PmmWdm7ty5ueKKK/KNb3wjy5Yt63fMgw8+mKeffjqf\n/vSnc+655+amm24qumB4JXSAqIJ9B9SFjjdVcJ6llTQN3iNHjsz48eOTJEOGDMnYsWOzcuXKfscs\nXLgwxx13XJJk4sSJWb16teELAAAAvzTgjvfy5cvz6KOPZuLEif2+3tXVlVGjRvXdHjVqVLq6unbc\nCmEH0AGiCvYdUBc63lTBeZZW0rTjvdG6desyd+7cnHXWWRkyZMgm9zcajW36pgsWLOj7H2XjJSJu\n79q3R7/pjXms0Zvu7lVJkpEjRySJ2zW4vffatTnpwIOS/Obsx511+5F77snQZ57p+wvpxquB3N71\nb/e+8fXJ0FeFeunuXpUMHZ6k+vmzs293d3dnaKirqvef227vzNuvVFtjK6m5p6cnV111VV73utfl\nrW996yb3f+5zn8thhx2Wo48+Okly4YUX5vLLL9/ibz7nz5+fKVOmbNeiaT13rX0hM4YOqnoZVODO\ntRsy/Zd/Ea2bp77whbzm9NOrXgYV+Nqyx/P7Y/eqehnsZOadeVdHa++8M0OnT6/key/4lRfzYGd5\npXm26aXmjUYjN954Y8aOHbvZ0J0kkydPzn333ZckWbJkSYYNG+ZyIwAAAPilppea/+QnP8m3v/3t\n7L///rn44ouTJDNnzsyzzz6bJJk6dWqOOOKILFq0KBdccEGGDBmS8847r/yqAVqAX0ICdWHeUQWv\ndtNKmgbvQw45JP/wD/+w1Sc555xzdtiCAAAAYFcy4Hc1B2Db+GhFoC7MO6qw8U2voBUI3gAAAFCQ\n4A1QiM4jUBfmHVXQ8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQjeAAAAUJDgDVCIziNQF+YdVdDx\nppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQjeAAAAUJDgDVCI\nziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQje\nAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQkOANUIjOI1AX\n5h1V0PGmlQjeAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQ\nkOANUIjOI1AX5h1V0PGmlQjeAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ\n8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQjeAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1Q\niM4jUBdFhR2MAAAgAElEQVTmHVXQ8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQjeAAAAUJDgDVCI\nziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQje\nAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQkOANUIjOI1AX\n5h1V0PGmlQjeAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ8aaVCN4AAABQ\nkOANUIjOI1AX5h1V0PGmlQjeAAAAUJDgDVCIziNQF+YdVdDxppUI3gAAAFCQ4A1QiM4jUBfmHVXQ\n8aaVCN4AAABQkOANUIjOI1AX5h1V0PGmlQze2gGf/exns2jRouy555655pprNrn/oYceytVXX519\n9tknSXLkkUfm1FNP3fErBQAAgBa01eB9wgknZPr06bnuuuu2eMyhhx6aWbNm7dCFAbQ6nUegLsw7\nqqDjTSvZ6qXmkyZNyrBhw5oe02g0dtiCAAAAYFey3R3vtra2LFmyJBdddFFmz56dZcuW7Yh1AbQ8\nnUegLsw7qqDjTSvZ6qXmWzNhwoTccMMN6ejoyKJFizJnzpzMmzdvR6wNAAAAWt52v+I9dOjQdHR0\nJEkOP/zw9PT05IUXXmj6mF/97dSCBQvcrtFt6qe7e1Xfv1e9/+x3oCTzjrqqav9t7HhXvf/drtft\nV6qtMYCC9vLly3PVVVdt9l3Nu7u7M2LEiLS1tWXp0qX51Kc+leuvv36LzzV//vxMmTJl+1ZNy7lr\n7QuZMXRQ1cugAneu3ZDpQ4dXvYxKrL3rrgydMaPqZVCBry17PL8/dq+ql8FOZt6Zd3W09s47M3T6\n9KqXATvNK82zW73U/Nprr82PfvSjPPfccznvvPNy2mmnZcOGDUmSqVOn5oEHHsjdd9+d9vb2dHR0\n5IMf/OC2rx5gF9Td3Z2hVS8CYCcw76jCgl951Rt+0201eF944YVN7582bVqmTZu2wxYEAAAAu5Lt\n7ngDsHk+1xaoC/OOKni1m1YieAMAAEBBgjdAIT7XFqgL844q7Ih3moadRfAGAACAggRvgEJ0HoG6\nMO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+AQnQegbow76iCjjetRPAGAACA\nggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+AQnQegbow76iC\njjetRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+A\nQnQegbow76iCjjetRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E\n8AYAAICCBG+AQnQegbow76iCjjetRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6B\nujDvqIKON61E8AYAAICCBG+AQnQegbow76iCjjetRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAA\ngIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+AQnQegbow76iCjjetRPAGAACAggRvgEJ0HoG6MO+o\ngo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+AQnQegbow76iCjjetRPAGAACAggRv\ngEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+AQnQegbow76iCjjet\nRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYAAICCBG+AQnQe\ngbow76iCjjetRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIEb4BCdB6BujDvqIKON61E8AYA\nAICCBG+AQnQegbow76iCjjetRPAGAACAggRvgEJ0HoG6MO+ogo43rUTwBgAAgIIGb+2Az372s1m0\naFH23HPPXHPNNZs95pZbbsnixYvT0dGR888/PxMmTNjhCwVoNd3d3Rla9SIAdgLzjiosWLDAq960\njK2+4n3CCSfk0ksv3eL9Dz74YJ5++ul8+tOfzrnnnpubbrpphy4QAAAAWtlWg/ekSZMybNiwLd6/\ncOHCHHfccUmSiRMnZvXq1d7ZEiA6j0B9mHdUwavdtJLt7nh3dXVl1KhRfbdHjRqVrq6u7X1aAAAA\n2CVsteM9EI1GY5uOf+oLX+j7zejGV8fd3rVv975lSjL0VaF+urtXJUOHJ/mvz9vc+BvqXf32smXL\nMjFAXZh31NF/vvbAPPTUz5MkI0eOSPLL/xd2wu2NX9tZ38/t/7q999q1OenAg5JUP3929u1Xqq0x\ngNS8fPnyXHXVVZt9c7XPfe5zOeyww3L00UcnSS688MJcfvnlW7zkaP78+Zly0knbtWhaz9eWPZ7f\nH7tX1cugAneu3ZDpv/yLaN089YUv5DWnn171MqiAmVdP5p15V0fmXT3Ved7Nnz8/U6ZM2ebHbfel\n5pMnT859992XJFmyZEmGDRum5wMQnUegPsw7gOa2eqn5tddemx/96Ed57rnnct555+W0007Lhg0b\nkiRTp07NEUcckUWLFuWCCy7IkCFDct555xVfNAAAALSKrQbvCy+8cKtPcs455+yQxQDsSnyuLVAX\n5h1Ac9t9qTkAAACwZYI3QCE6j0BdmHcAzQneAAAAUJDgDVDIxs+xB9jVmXcAzQneAAAAUJDgDVCI\nziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6\nj0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8\nAnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI\n1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQ\nF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0Bd\nmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh\n3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5\nB9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+Yd\nQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcA\nzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFDd7aAYsXL85tt92W\n3t7enHjiiTnllFP63f/QQw/l6quvzj777JMkOfLII3PqqaeWWS1AC9F5BOrCvANormnw7u3tzc03\n35yPfvSj6ezszCWXXJLJkydn3Lhx/Y479NBDM2vWrKILBQAAgFbU9FLzpUuXZsyYMRk9enQGDx6c\no48+OgsXLtzkuEajUWyBAK1K5xGoC/MOoLmmwburqyujRo3qu93Z2Zmurq5+x7S1tWXJkiW56KKL\nMnv27CxbtqzMSgEAAKAFbbXjvTUTJkzIDTfckI6OjixatChz5szJvHnzdsTagF1Ad/eqZOjwJMmC\nBQuSJMcff3wtbgP1Yt4BdWHebbu2RpPrxJcsWZJ/+qd/ymWXXZYk+fKXv5y2trZN3mDtV73vfe/L\nVVddleHDh2/2/vnz52fKSSdt16JpPV9b9nh+f+xeVS+DCty5dkOmD938PNjVrb3rrgydMaPqZVAB\nM6+ezDvzro7Mu3qq87ybP39+pkyZss2Pa3qp+Wtf+9r84he/yPLly9PT05P7778/kydP7ndMd3d3\nX8d76dKlSbLF0A1QJzqPQF2YdwDNNb3UfNCgQTn77LNzxRVX9H2c2Lhx43L33XcnSaZOnZoHHngg\nd999d9rb29PR0ZEPfvCDO2XhAAAA0Aq22vE+/PDDc/jhh/f72tSpU/v+fdq0aZk2bdqOXxlAi/O5\ntkBdmHcAzTW91BwAAADYPoI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMU\novMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCI\nziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6\nj0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8\nAnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI\n1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQ\nF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0Bd\nmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh\n3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+YdQHOCNwAAABQkeAMUovMI1IV5\nB9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcAzQneAAAAUJDgDVCIziNQF+Yd\nQHOCNwAAABQkeAMUovMI1IV5B9Cc4A0AAAAFCd4Aheg8AnVh3gE0J3gDAABAQYI3QCE6j0BdmHcA\nzQ3e2gGLFy/Obbfdlt7e3px44ok55ZRTNjnmlltuyeLFi9PR0ZHzzz8/EyZMKLJYAAAAaDVNX/Hu\n7e3NzTffnEsvvTRz587Nd77znSxbtqzfMQ8++GCefvrpfPrTn865556bm266qeiCAVqFziNQF+Yd\nQHNNg/fSpUszZsyYjB49OoMHD87RRx+dhQsX9jtm4cKFOe6445IkEydOzOrVq11uBAAAAL/UNHh3\ndXVl1KhRfbc7OzvT1dXV9JhRo0ZtcgxAHfklJFAX5h1Ac1vteA9Eo9EY8LEjR47M/Hvu2RHflhYy\n5MdLcs+Pl1S9DCoyv+oFVGX06Dxs3tWSmVdf5h11Y97VV13n3Sut1jQN3p2dnVmxYkXf7RUrVqSz\ns3Obj/lVr3/961/RQgEAAKAVNb3U/LWvfW1+8YtfZPny5enp6cn999+fyZMn9ztm8uTJue+++5Ik\nS5YsybBhw7zBBgAAAPxSW2Mr14kvWrSo38eJvf3tb8/dd9+dJJk6dWqS5Oabb87ixYszZMiQnHfe\neTnwwAPLrxwAAABawFaDNwAAAPDKNb3UHAAAANg+gjcAAAAUtEM+TmxzFi9e3K8bfsopp2xyzC23\n3JLFixeno6Mj559/fiZMmFBqOdTE1vbdQw89lKuvvjr77LNPkuTII4/MqaeeWsVS2UV89rOfzaJF\ni7Lnnnvmmmuu2ewxZh072tb2nVlHCc8++2yuv/76rFq1Km1tbZkyZUpmzJixyXFmHjvSQPadmceO\n9tJLL+Xyyy/P+vXr09PTkze84Q05/fTTNzlum+Zdo4ANGzY03v/+9zeefvrpxvr16xsf/vCHG088\n8US/Y/793/+9ceWVVzYajUZjyZIljUsvvbTEUqiRgey7H/7wh41PfOITFa2QXdHDDz/ceOSRRxof\n+tCHNnu/WUcJW9t3Zh0lrFy5svGzn/2s0Wg0GmvXrm184AMf8Pc7ihvIvjPzKGHdunWNRqPR6Onp\naVx66aWNH/3oR/3u39Z5V+RS86VLl2bMmDEZPXp0Bg8enKOPPjoLFy7sd8zChQtz3HHHJUkmTpyY\n1atXp7u7u8RyqImB7LskaXg/QXagSZMmZdiwYVu836yjhK3tu8SsY8cbOXJkxo8fnyQZMmRIxo4d\nm5UrV/Y7xsxjRxvIvkvMPHa8jo6OJElPT096e3szfPjwfvdv67wrEry7uroyatSovtudnZ3p6upq\nesyoUaM2OQa2xUD2XVtbW5YsWZKLLroos2fPzrJly3b2MqkZs44qmHWUtnz58jz66KOZOHFiv6+b\neZS0pX1n5lFCb29vLrroorz3ve/NYYcdlnHjxvW7f1vnXbGO90D4zRQ724QJE3LDDTeko6MjixYt\nypw5czJv3ryql8UuzqxjZzPrKGndunWZO3duzjrrrAwZMmST+808Smi278w8Smhvb8+cOXOyZs2a\nXHHFFXnooYdy2GGH9TtmW+ZdkVe8Ozs7s2LFir7bK1asSGdn5zYfA9tiIHtq6NChfZeNHH744enp\n6ckLL7ywU9dJvZh1VMGso5Senp5cc801OeaYY/LGN75xk/vNPErY2r4z8yhpjz32yOGHH56f/vSn\n/b6+rfOuSPB+7Wtfm1/84hdZvnx5enp6cv/992fy5Mn9jpk8eXLuu+++JMmSJUsybNiwjBw5ssRy\nqImB7Lvu7u6+30wtXbo0STbpa8COZNZRBbOOEhqNRm688caMHTs2b33rWzd7jJnHjjaQfWfmsaM9\n99xzWb16dZKX3+H8Bz/4wSbvWL6t866tUeh6oEWLFvX7WKe3v/3tufvuu5MkU6dOTZLcfPPNWbx4\ncYYMGZLzzjsvBx54YImlUCNb23df//rXc/fdd6e9vT0dHR1597vfnYMPPrjiVdPKrr322vzoRz/K\nc889l5EjR+a0007Lhg0bkph1lLO1fWfWUcKPf/zj/MVf/EX233//tLW1JUlmzpyZZ599NomZRxkD\n2XdmHjva448/nuuvvz69vb1pNBo59thj87a3vW278myx4A0AAAAUutQcAAAAeJngDQAAAAUJ3gAA\nAFCQ4A0AAAAFCd4AAABQkOANAAAABQneAAAAUJDgDQAAAAUJ3gAAAFCQ4A0AAAAFCd4AAABQkOAN\nAAAABQneAAAAUJDgDQAAAAUJ3gAAAFCQ4A0AAAAFCd4AAABQkOANAAAABQneAAAAUJDgDQAAAAUJ\n3gAAAFCQ4A0AAAAFCd4AAABQkOANAAAABQneAAAAUJDgDQAAAAUJ3gAAAFCQ4A0AAAAFCd4AAABQ\nkOANAAAABQneAAAAUJDgDQAAAAUJ3gAAAFCQ4A0AAAAFCd4AAABQkOANAAAABQneAAAAUJDgDQAA\nAAUJ3gAAAFCQ4A0AAAAFDa56AQC7sp///OdZvXp12traql4KQFONRiPDhg3L2LFjq14KwC5H8AYo\nZOXKlVm/fr2/xAIt45lnnsnKlSvz6le/uuqlAOxSXGoOUMgzzzyTvfbaq+plAAzYXnvtlWeeeabq\nZQDscgRvgELa2tpcYg60FHMLoAzBGwAAAAoSvAEAAKAgwRsAXqElS5bkqKOOymte85rceOONVS+H\nmjj00EPzrW99q+plALANvKs5wE7Uu3Rp2p54otjzN/bbL+0HHbTV4w499NA888wzGTx4cAYNGpRD\nDjkkM2fOzNlnnz2gfudjjz2W3/7t386qVavS3j6w3+Fu/J6DBg1K8nKXdPHixdlnn30G9Pid5brr\nrsunPvWprF27Nqecckquvfba7L777ps99tprr83xxx+f2bNn7+RVDtzS3g15omBld79GclD7oAEd\na99t2bbsOz1sgNYjeAPsRG1PPJHhf/AHxZ7/ha9+NRlA8G5ra8s//dM/5fjjj8/zzz+fb3/727n4\n4ouzcOHC3HDDDQP+fo1GY8DH/ur33JKenp4MHlzdqemee+7J3Llzc+edd2bMmDGZOXNmrrjiivzl\nX/7lZo9//PHHc9ppp+3kVW6bJ9qSPxi+W7Hn/+oL67P1Hfcy+27ztnXf7Sgb/xyFeIDyXGoOUHOv\netWrMmPGjNx+++2544478vDDDydJvv71r+fNb35z9t133xxyyCG58sor+x7ze7/3e0mSsWPHZsyY\nMfne976XRx55JDNmzMj++++fAw44IOecc05WrVo1oO//uc99Lr/7u7+bww8/PEly0UUX5ZBDDsm+\n++6bY445Jvfff3/f8VdccUX++I//OH/yJ3+S17zmNTnyyCOzdOnSfPKTn8yECRMyadKk/Ou//mvf\n8atWrcr555+fgw46KAcffHA+9rGPpbe3d7NrueOOO/5/e/cWUkXXBnD872HnEc08oLZ3Wr1BihGR\nXXS6qJRCRCJN09Cwg1K5C9uaViDtq/AtMkNvzIvI6IQRRKkURVEimN5kkZRK2nY8UGo6hod0fxfi\nfPqmlb2fxVfP76ppHWbN+CDzuNasYdeuXSxdupS5c+eSnZ3N5cuXp6wbERHBkydPMJlM+Pn50djY\nyMePH9m3bx+BgYEEBwfz999/a8mN1WolNzeX4OBgFi5cSEpKCr29vd+8P78ribv/mkncjautrSU0\nNBSDwcD+/fsZHBwEoKenh5iYGAIDAzEYDGzfvh1FUbR2W7ZswWw2ExYWho+PD2/fvv3mvRJCCPHv\nSeIthBACgJUrVzJ//nyqqqoAcHFxobi4GEVRKC0tpbi4mDt37gBw7949ABRFob29nVWrVgFjiUtj\nYyO1tbVYLJZJSRNMP1N59+5dHj9+TE1NDQChoaFUVVVhsViIjY0lMTGRoaEhrX5FRQUJCQlYLBaW\nL19OVFQUAA0NDWRlZXHo0CGtbmpqKjqdjrq6OiorK3n48CEXL16cchz19fUsW7ZMOw4JCaGzs5Pu\n7m4A0tPTSU9PB6CsrIw1a9Zw9uxZ2traWLx4MRkZGfT19fHy5UsqKiq4evUqJSUlAJSUlHDlyhXK\ny8t58eIFqqpiMpm+9WP57UnczSzuxq/nxo0b3L59m+fPn9PQ0EBubi4Ao6OjJCUlUV9fz6tXr3B0\ndOTIkSOTznf9+nUKCgro6OjAYDBMOSYhhBD/W5J4CyGE0Pj5+WkP++vXryc4OBgYSwRiYmJ4+vQp\nMHUis2jRIjZs2IBOp8PLy4u0tDSt/nib+Ph49Ho9er2e+Ph4rcxkMjF37lwcHBwAiIuLw8PDA1tb\nW4xGI0NDQ7x+/Vqrv3btWjZu3IidnR1bt26lq6sLk8mEnZ0d0dHRNDc309vbS0dHB/fv3yc3Nxcn\nJye8vb05cOAApaWlU15/f38/7u7u2rGbmxsAqqoCkJeXR15e3qQ24/diZGSEmzdvYjabcXFxYcGC\nBRiNRq5evQqMJTtGo5GAgABcXFwwm82UlpZOOwv6J5G4m1nc2djYkJqair+/Px4eHmRmZmp9z5s3\nj6ioKBwdHXF1dSUzM5PKyspJbXfu3MnSpUuxtbX9pUvshRDiTyK/bYUQQmgURcHDwwOAZ8+ekZOT\nw6tXrxgeHmZwcJBt27ZN27ajo4OjR49SVVWFqqqMjo5qfcHYA/+1a9emfNdWr9dPOs7Pz+fSpUu0\nt7djY2NDb28vHz580Mq9vb21fzs5OeHp6am9p+rk5ASMJTOtra0MDw/z14T33kdHR7843zgXF5dJ\ny7/Hlyy7urpOe93j533//j3Dw8MsWLBAKzMYDLS1tQHQ3t7+Rdnnz5/p7OzE19d32v7/BBJ3M4+7\niX3p9Xotzj59+kRWVhYPHjygp6cHGEvgrVarNtbpxiGEEGL2yIy3EEIIYOydUUVRWL16NQC7d+8m\nMjKS169f09rayp49e7TZ2ak2YzKbzdjZ2VFdXY2iKFy4cOG7Z3Mn9ldZWcm5c+coKSmhtbUVi8WC\nu7v7jDbUGqfX63FwcKClpQWLxYLFYkFRFKqrq6esHxQUxPPnz7Xjuro6fHx8JiVy0/Hy8kKn09Hc\n3Kz937t37/D39wfGZnX/WWZvb4+Pj8+Mr+t3InH3Y3H3bsLXESwWixZn58+fp6GhgUePHqEoChUV\nFVit1knXIZupCSHEzyeJtxBC/KHGH8R7e3spLy8nOTmZ+Ph4bZmvqqp4eHgwZ84campquHHjhvbA\n7uXlha2tLU1NTVp/qqri7OyMm5sbiqKQn5//Q+NSVRV7e3s8PT0ZGhri1KlTP7wJma+vL5s2bSI7\nO5u+vj5GR0dpamqatBR5ooSEBC5dukR9fT3d3d3k5uaSmJj41XOM30c7Ozu2bduG2WxGVVVaWloo\nKChgx44dAGzfvp3CwkKam5tRVZWTJ08SExPz3Z/F+l1I3H1ppnFntVopKipCURS6uro4ffq0tiqg\nv78fJycn3N3d6erq+uJ99/H2Qgghfi5Zai6EED+R1WAY++TXLPb/vXNZsbGx2NvbY2trS1BQEEaj\nkb1792rleXl5HD9+HJPJxLp164iOjtaWwDo7O5OZmUlYWBgjIyPcunWLY8eOkZKSgr+/P4sXL2bH\njh0UFhZ+cxz/nH0LDw8nPDycFStW4OzsTFpa2qQNoKb6hvHXjouKisjJySE0NBRVVQkMDPxis6lx\nYWFhpKenExERwcDAAFu3buXEiRNa+eHDhwEmJXcTz3XmzBkyMjIICQnB0dGR5ORkLYFKSkqira2N\nzZs3MzAwQHh4OGfOnPnm/fm3DNaxT37NZv/fHXRI3E1lpnFnY2NDXFwcUVFRtLe3ExkZSVZWFgAH\nDx4kOTmZgIAA/Pz8MBqNlJWVfXXcQgghZp+NVf7sKYQQs+LNmzfa8k8hhPh/oSgKS5Ys+dXDEEKI\n38qftb5NCCGEEEIIIYT4ySTxFkIIIYQQQgghZpEk3kIIIYQQQgghxCySxFsIIYQQQgghhJhFkngL\nIVDqhW8AAAAvSURBVIQQQgghhBCzSBJvIYSYJTqdjv7+/l89DCGE+G79/f3odLpfPQwhhPjt/Aeg\nxN/RqV2dqQAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f4cb691dc90>"
+ ]
+ }
+ ],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Stacked plot"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.BarPlot(dfr, column=[\"foo\", \"bar\", \"baz\"], stacked=True).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA9UAAAIZCAYAAACoFxxkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAwcOLHspQMn+H7aWafSb1eZBAAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f4cb6c77290>"
+ ]
+ }
+ ],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Signals"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Signals only work with trappy traces. Let's first get a trace."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace = trappy.BareTrace()\n",
+ "trace.add_parsed_event(\"my_event\", dfr)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 6
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "If you have a trappy trace, you can use the signal syntax instead of columns and templates"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.BarPlot(trace, signals=[\"my_event:baz\", \"my_event:foo\"]).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA94AAAIZCAYAAABQ9+eTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f4cb6da0350>"
+ ]
+ }
+ ],
+ "prompt_number": 7
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/BareTrace class.ipynb b/doc/BareTrace class.ipynb
new file mode 100644
index 0000000..990b25b
--- /dev/null
+++ b/doc/BareTrace class.ipynb
@@ -0,0 +1,96 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:07509ec03cc71263d49f2d8bb69f21bd039d5ddeeb3f3c81573002794049a1aa"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "The `BareTrace` class lets you create a trace class that has been parsed outside of trappy. This lets you leverage trappy's and bart's facilities with any type of trace."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Let's assume that we have events available in a csv file. We can import them into a trace object by creating a `BareTrace` object and adding them using `add_parsed_event`."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import pandas as pd\n",
+ "import trappy"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "First we import the parsed events into a pandas DataFrame"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "event = pd.DataFrame.from_csv(\"sample_trace.csv\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Now we create an trappy trace object and add our event to it. "
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace = trappy.BareTrace()\n",
+ "trace.add_parsed_event(\"http_access\", event)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We can add more events if we had collected them from different sources. Just call `.add_parsed_event()` for each of them"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.ILinePlot(trace, trace.http_access, column=[\"response_time\"]).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/Displaying results.ipynb b/doc/Displaying results.ipynb
new file mode 100644
index 0000000..b7e15a8
--- /dev/null
+++ b/doc/Displaying results.ipynb
@@ -0,0 +1,425 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:d03f0300a24dadc9bd282e0fc96d108fd5c057ee71fb302185a4a5ffc75f7a64"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline\n",
+ "import sys\n",
+ "sys.path.append('..')\n",
+ "import trappy.wa"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "output_type": "stream",
+ "stream": "stdout",
+ "text": [
+ "Populating the interactive namespace from numpy and matplotlib\n"
+ ]
+ }
+ ],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Displaying Results"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "At the end of running an agenda, Workload Automation produces a summary of the results for the workloads. trappy can parse the results and tabulate it in a notebook. Additionally, an optional id argument an be passed which if supplied overrides the id in the results file."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "results = trappy.wa.get_results(\"../tests\")\n",
+ "results"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr>\n",
+ " <th></th>\n",
+ " <th colspan=\"2\" halign=\"left\">geekbench</th>\n",
+ " <th colspan=\"2\" halign=\"left\">antutu</th>\n",
+ " <th colspan=\"2\" halign=\"left\">egypt_offscreen</th>\n",
+ " <th colspan=\"2\" halign=\"left\">thechase</th>\n",
+ " <th colspan=\"2\" halign=\"left\">t-rex_offscreen</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th></th>\n",
+ " <th>power_allocator</th>\n",
+ " <th>step_wise</th>\n",
+ " <th>power_allocator</th>\n",
+ " <th>step_wise</th>\n",
+ " <th>power_allocator</th>\n",
+ " <th>step_wise</th>\n",
+ " <th>power_allocator</th>\n",
+ " <th>step_wise</th>\n",
+ " <th>power_allocator</th>\n",
+ " <th>step_wise</th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0</th>\n",
+ " <td>3</td>\n",
+ " <td>8</td>\n",
+ " <td>5</td>\n",
+ " <td>4</td>\n",
+ " <td>652</td>\n",
+ " <td>504</td>\n",
+ " <td>491.615669</td>\n",
+ " <td>242.052226</td>\n",
+ " <td>1777</td>\n",
+ " <td>2365</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1</th>\n",
+ " <td>1</td>\n",
+ " <td>4</td>\n",
+ " <td>3</td>\n",
+ " <td>9</td>\n",
+ " <td>555</td>\n",
+ " <td>2507</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>397</td>\n",
+ " <td>429</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2</th>\n",
+ " <td>5</td>\n",
+ " <td>2</td>\n",
+ " <td>2</td>\n",
+ " <td>7</td>\n",
+ " <td>790</td>\n",
+ " <td>325</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>512</td>\n",
+ " <td>424</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 2,
+ "text": [
+ " geekbench antutu egypt_offscreen \\\n",
+ " power_allocator step_wise power_allocator step_wise power_allocator \n",
+ "0 3 8 5 4 652 \n",
+ "1 1 4 3 9 555 \n",
+ "2 5 2 2 7 790 \n",
+ "\n",
+ " thechase t-rex_offscreen \n",
+ " step_wise power_allocator step_wise power_allocator step_wise \n",
+ "0 504 491.615669 242.052226 1777 2365 \n",
+ "1 2507 NaN NaN 397 429 \n",
+ "2 325 NaN NaN 512 424 "
+ ]
+ }
+ ],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "In addition to displaying tabulated results, trappy can graphically plot individual benchmarks as well as all the benchmarks in the result."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "results.plot_results_benchmark(\"antutu\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAgYAAAEFCAYAAACYQy+TAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a4d5950>"
+ ]
+ }
+ ],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "results.plot_results()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAgYAAAEFCAYAAACYQy+TAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a392150>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAgYAAAEFCAYAAACYQy+TAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAG95JREFUeJzt3X10VPW97/H3kIA8mJAAKoQHA8hB0IOxRaRQTSzKQa49\nnFW9Vlqoabmnt/eeVrR60VqqqX2w7bld5bpOXWfZUnIpFbvQnqNWqIowAWnR4qX4jJQFQYP4ABQD\nSOQh94+ZbAOEzESzZ09m3q+1ZmV+e/Zkf/fszMwnv99v9oAkSZIkSZIkSZIkSZIkSZIkSZIkSZIk\nSZKU++LAHqBHB+5zDBjRgfVrge91YH1Jymndoi5AOoVyYALwNvCPHbxvrNOrkSRJkboDeAT4NvBo\nq+W1wM+B3wPvAev5sIdgDYkeg/1AI3AtUA2sPeF3HwNGAl8FPgCakus/3Or21r0OtdirIElSpP4K\nfBEYReLN+4zk8lrgXWA8UAAsAZa2ut+Jb+rVtB0MWtZZBNzVzu2nWkeScpJDCcpGnwYGk+gx2AK8\nTCIktPgdsAE4CvwGqPiY20tn6MHhCUl5wWCgbHQ98ASJ7n2AZcllLd5qdf194PQM1SVJOa8w6gKk\nE/QiMTegG/BmctlpQF9gHNDcwd93AOjdqj3whNvb+n0HT7jPIOD1Dm5XkrokewyUbf4JOAKMAS5I\nXsYATwNfSuP+b5GYWNhiE3Be8vf0BGraWP/Ejzf+hcTQRQEwDbi0IzsgSZI6zwrgX9tY/l9J9CAs\n5viJgFXAjlbt/w7sBPYC1ySX3Q68A9STeMM/yodh4BxgY3L93yWXfRJ4kcSnHhaTmMfg5ENJSpoL\nvEDihXJuxLVIkqQInU8iFPQk0a36JMd300qSpBySao7BucAzwCES3a91wOfCLkqSJEUjVTB4EbgE\n6EdilvZ/AYaEXZQkSYpGqo8rvgr8mMRnyg+QmKR1LOyiJElSNDp6NrcfkpgB/u8tC0aOHNm8devW\nTi1KkvLAJj7+WTulTpdOMDiTxDfcDQMeBy4m8TGuFs3NzR0950zXUVNTQ01NTdRl6COKxWInn7kg\nTDWQy8+HTMr1514sFgNPta0slM6ZDx8E+gOHgf/J8aEg523fvj3qEqS85HNPikY6wcCzvkmSlCc8\nJXIK1dXVUZcg5SWfe1I0OmN8K6fnGKhrc46BspVzDJSt7DFIIR6PR12ClJd87knRMBhIkqSAQwnK\naQ4lKFs5lKBsZY+BJEkKGAxScJxTiobPPSkaBgNJkhRwjoFymnMMlK2cY6BsZY+BJEkKGAxScJxT\niobPPSkaBgNJkhRwjoFymnMMlK2cY6BsZY+BJEkKGAxScJxTiobPPSkaBgNJkhRIZ3zrW8As4Bjw\nAvBloKnV7c4xUNZyjoGylXMMlK1S9RiUA/8MfAL4e6AAuC7kmiRJUkRSBYP3gMNAb6Aw+bMh7KKy\nieOcUjR87knRSBUM9gA/BXYAO4G/ASvDLkqSJEWjMMXtI4EbSQwp7AOWAV8EftN6perqasrLywEo\nKSmhoqKCqqoq4MPU31XbLcuypR7bHWsDsA0Y3uo6Ibbx76Wz2lVVVVlVz8dtx+NxamtrAYLXSykb\npZr48nngCuC/JduzgYnAv7Rax8mHylpOPlS2cvKhslWqoYRXSQSBXiT+gC8HXg67qGzSkvglZZbP\nPSkaqYLBJmAxsAF4PrnsvlArkiRJkfG7EpTTHEpQtnIoQdnKMx9KkqSAwSAFxzmlaPjck6JhMJAk\nSQHnGCinOcdA2co5BspW9hhIkqSAwSAFxzmlaPjck6JhMJAkSQHnGCinOcdA2co5BspW9hhIkqSA\nwSAFxzmlaPjck6JhMJAkSQHnGCinOcdA2co5BspW9hhIkqSAwSAFxzmlaPjck6JhMJAkSQHnGCin\nOcdA2co5BspW6fQYjAY2trrsA24IsyhJkhSNdILBZuDC5OWTwEHgP8IsKps4zilFw+eeFI2OzjG4\nHNgKvB5CLZIkKWIdHd/6FbABuLfVMucYKGs5x0DZyjkGylaFHVi3B/BZ4NYTb6iurqa8vByAkpIS\nKioqqKqqAj7sDrRtO4o2ANuA4a2uE2I7WUO27H9XbheXFNO4r5FMKepbxCP/+Uja9XW0HY/Hqa2t\nBQheL6Vs1JG0OgP4H8C0E5bndI9B6xd5dT32GHRduX7s7DFQturIHIOZwNKwCpEkSdFLNxj0ITHx\n8Hch1pKV7C2QJOWTdOcYHAAGhFmIJEmKnqdETqFl8pAkSfnAYCBJkgIGgxScYyBJyicGA0mSFDAY\npOAcA0lSPjEYSJKkgMEgBecYSJLyicFAkiQFDAYpOMdAkpRPDAaSJClgMEjBOQaSpHxiMJAkSQGD\nQQrOMZAk5RODgSRJChgMUnCOgSQpnxgMJElSIJ1gUAI8CLwCvAxMDLWiLOMcA0lSPilMY53/AywH\nrkmu3yfUiiRJUmRSBYO+wCXA9cn2EWBfqBVlGecYSJLySaqhhOHAO8Ai4P8BvwB6h12UJEmKRqoe\ng0LgE8DXgT8DC4DbgDtar1RdXU15eTkAJSUlVFRUBP9pt4zRd9X2ggULcmp/8q0NwDYSEbflOiG2\nkzVky/539Xbox+uEdpj7E4/Hqa2tBQheL6VsFEtx+0DgT3z4NPo0iWBwVat1mpubm0MoLTu0fpFX\n1xOLxaAmgxusgVx+PmRSrh+7WCwGqV+DpYxLNZSwC3gd+Ltk+3LgpVAryjKGAklSPknnUwnfAH4D\n9AC2Al8OtSJJkhSZdM5jsAm4CLgA+Bx59qmEljFCSZLygWc+lCRJAYNBCs4xkCTlE4OBJEkKGAxS\ncI6BJCmfGAwkSVLAYJCCcwwkSfnEYCBJkgIGgxScYyBJyicGA0mSFDAYpOAcA0lSPjEYSJKkgMEg\nBecYSOpqCgsL3wOavXhp75L8OzlJOt+uKEnqQo4cOVLU3NwcdRnKcrFYrKit5fYYpOAcA0lSPjEY\nSJKkQLrBYDvwPLAReDa0arKQcwwkSfkk3TkGzUAVsCe8UiRJUtQ6MpQQC62KLOYcA0lSi3g8ztCh\nQ4N2eXk5Tz31VIQVdb50g0EzsBLYAPxzeOVIksJQXNyPWCwW2qW4uF/UuxiJlv0Py4lBJBPSDQaT\ngQuBK4F/AS4JraIs4xwDSbmgsXEvYX4sPvH7u4bm5mby5eOcR44c6fB90g0GbyZ/vgP8BzCh9Y3V\n1dXU1NRQU1PDggULjnszjcfjndru3bso1NR74uUf/uHKUPfHdrhtALadcD3MNkS6v7nWDv14ndAO\nc3/i8TjV1dXB62U+Ki8v50c/+hHnnXce/fr14ytf+QpNTU0A/OIXv2DUqFH079+fGTNm8Oabibed\nO++8kxtuuAGAw4cP06dPH+bNmwfA+++/T8+ePfnb3/4GwPr165k0aRKlpaVUVFRQV1cXbLuqqor5\n8+czefJk+vTpw7ZtJzxxW1m0aBFjx46luLiYkSNHct9996W1f01NTdx4440MHjyYwYMHc9NNN/HB\nBx8Etz/88MNUVFTQt29fzjnnHB5//PF2t3fgwAGuvPJKdu7cSVFREcXFxezatavd7cTjcYYMGcJP\nfvITBg0axJw5c9KqvbV0+j96AwVAI9AHeAL4bvInQHMmk1eiyyaTSS+WN8kyF8ViMajJ4AZr8O+l\nk+T6sUt2P4fVB33S63L4r52pXyvLy8spLi5mxYoV9O7dm89+9rNcdtllXHbZZXz+85/nySefZOzY\nsdxyyy1s2rSJuro6Vq9ezdy5c3n++ef54x//yJe+9CUGDBjA+vXrWbVqFTfffDMbN26koaGBCy64\ngCVLljBt2jRWrlzJddddx+bNm+nfvz9VVVVs376dFStWMHr0aI4dO0ZhYdvz75cvX86YMWMYPnw4\na9as4corr+Tpp5/mwgsvJB6PM3v2bF5//XUAhg8fzsKFC/nMZz7DHXfcwcqVK3nkkUcAmDFjBlOm\nTOGuu+7i2WefZerUqTz00ENMmTKFnTt30tjYyOjRo9vdXl1dHbNmzQq2B7S7nXg8zhVXXMEtt9zC\nXXfdxdGjR+nZs2fbR+wUf4Pp9BicBawF/gI8A/yeD0OBJElpicVifP3rX2fw4MGUlpby7W9/m6VL\nl3L//fczZ84cKioq6NGjB3fffTd/+tOf2LFjBxMnTmTLli3s2bOHtWvXMmfOHBoaGjhw4AB1dXVU\nVlYCsGTJEqZPn860adMAuPzyyxk/fjyPPfZYsO3q6mrGjBlDt27dThkKAKZPn87w4cMBuPTSS5k6\ndSpr165NuX/3338/d9xxBwMGDGDAgAHceeed/PrXvwZg4cKFzJkzhylTpgBQVlbG6NGjU26vrbDV\n3nYAunXrxne/+126d+9+ylDQnnSCwTagInk5H7i7w1uRJAmOm0g3bNgwdu7cyc6dOxk2bFiwvE+f\nPvTv35+GhgZ69erF+PHjqaurY82aNVRWVjJp0iTWrVsXtAHq6+tZtmwZpaWlwWXdunXs2rWrzW23\nZ8WKFUycOJH+/ftTWlrK8uXL2b17d8r77dy5k7PPPvuk/QN44403GDlyZKdsr73tAJxxxhn06NEj\nZb2n4pkPJUkZs2PHjuOul5WVUVZWRn19fbD8wIED7N69m8GDBwNQWVnJU089xcaNG7nooouorKzk\nD3/4A88++yyXXnopkHhznD17Nnv37g0ujY2NwXwECLrO29XU1MTVV1/NvHnzePvtt9m7dy/Tp09P\na5iprKyM7du3H7d/LfswdOhQ/vrXv3Z4e23V3NZ2ysrKOrSf7TEYSJIyorm5mXvvvZeGhgb27NnD\nD37wA6677jpmzpzJokWL2LRpE01NTdx+++1MnDgx6EWorKxk8eLFnHfeeXTv3p2qqip++ctfMmLE\nCPr37w/ArFmzePTRR3niiSc4evQohw4dIh6P09DQcNz2U/nggw/44IMPGDBgAN26dWPFihU88UR6\no+czZ87k+9//Pu+++y7vvvsud911F7NmzQJgzpw5LFq0iFWrVnHs2DEaGhrYvHlzyu2dddZZ7N69\nm/fee6/d7cyePTutGtNhMJCkPFBUVEpinlk4l8Tvb18sFuMLX/gCU6dOZeTIkYwaNYr58+czZcoU\nvve973H11VdTVlbGtm3beOCBB4L7fepTn+LQoUNB78CYMWPo1atX0AYYMmQIDz/8MD/84Q8588wz\nGTZsGD/96U+PCwPp/CddVFTEPffcw7XXXku/fv1YunQpM2bMOGk/2jJ//nzGjx/PuHHjGDduHOPH\nj2f+/PkAXHTRRSxatIibbrqJkpISqqqq2LFjR8rtnXvuucycOZMRI0bQr18/du3a1e520t3P9nTG\njFg/laCslesz23NZrh+7TH8qIRu0nsGv6H2cTyVIkqQ8YTCQJOWd008/naKiopMu69ati7q0yKX7\n7YqSJH0s7Z1tMNP2798fdQlZyx4DSZIUMBhIkqSAwUCSJAUMBpIkKWAwkCRJAYOBJEmtnH/++axZ\nsybqMiLjxxUlKQ8UlxTTuK8xtN9f1LeI9/72XuoV21BTU8PWrVuP++rgKL344otRlxApg4Ek5YHG\nfY2hnmK6sSa80KHMcihBkpQxP/7xjxkyZAjFxcWce+65LF++nLvvvpvf/va3FBUVceGFFwKwb98+\n5syZQ1lZGUOGDOE73/kOx44dA6C2tpbJkyfzjW98g5KSEsaMGcOqVava3e7q1asZN25c0L7iiiuY\nMGFC0L7kkkt45JFHACgvLw9+37PPPsv48ePp27cvAwcO5Oabbw7us379eiZNmkRpaSkVFRXU1dV1\nzoMUsXR7DAqADcAbwGfDK0eSlKs2b97Mz3/+czZs2MDAgQPZsWMHR44c4fbbb2fr1q0sXrw4WLe6\nupqBAweydetW9u/fz1VXXcXQoUP56le/CiTesK+99lp2797NQw89xOc+9zm2bdtGaWnb3/I4ceJE\ntmzZwp49eygqKuL555+nR48eHDhwgG7duvHcc89xySWXAMd/O+HcuXO56aab+OIXv8jBgwd54YUX\nAGhoaOCqq65iyZIlTJs2jZUrV3L11Vfz6quvMmDAgLAewoxIt8dgLvAymf1aQ0lSDikoKKCpqYmX\nXnqJw4cPM2zYMEaMGEFzc/Nx32z51ltvsWLFCn72s5/Rq1cvzjjjDG688cbjvor5zDPPZO7cuRQU\nFHDttdcyevRoHnvssVNuu1evXlx00UXU1dXx3HPPUVFRweTJk3n66adZv349o0aNajNU9OjRgy1b\ntvDuu+/Su3dvLr74YgCWLFnC9OnTmTZtGgCXX34548ePZ/ny5Z31cEUmnWAwBJgO/JLwviJUkpTj\nzjnnHBYsWEBNTQ1nnXUWM2fO5M033zxpvfr6eg4fPsygQYMoLS2ltLSUr33ta7zzzjvBOoMHDz7u\nPmeffTY7d+5sd/uVlZXE43HWrl1LZWUllZWV1NXVsWbNGqqqqtq8z8KFC3nttdcYM2YMEyZMCMJH\nfX09y5YtC+orLS1l3bp17Nq1q4OPSvZJJxj8DPhfwLGQa5Ek5biZM2eydu1a6uvricVi3HrrrXTr\ndvxb0dChQznttNPYvXs3e/fuZe/evezbty/oxodEV35r9fX1J4WFE1VWVrJ69eogCLQEhbq6Oior\nK9u8zznnnMP999/PO++8w6233so111zDwYMHGTZsGLNnzw7q27t3L42NjcybN+8jPjLZI1UwuAp4\nG9iIvQWSpI/htddeY9WqVTQ1NXHaaafRs2dPCgoKOOuss9i+fXswnDBo0CCmTp3KN7/5TRobGzl2\n7Bhbt2497twCb7/9Nvfccw+HDx9m2bJlbN68menTp7e7/UmTJrF582b+/Oc/M2HCBMaOHUt9fT3P\nPPMMl156aZv3WbJkSdBT0bdvX2KxGAUFBcyaNYtHH32UJ554gqNHj3Lo0CHi8fhJgaUrSjX5cBLw\njySGEnoCxcBi4EutV6qurqa8vByAkpISKioqgm6ZeDwO0GnthDhQ1eo64bVjx09ECVuvPr1Y/vvl\noT1++dYGYBswvNV1Qmwna8iW/e/q7dCP1wntMPcnHo9TW1sLELxeZlJR36JQP1JY1Lco5TpNTU18\n61vf4pVXXqF79+5MnjyZ++67jx49erBkyRL69+/PiBEj2LBhA4sXL+a2225j7NixNDY2MmLECG67\n7bbgd1188cVs2bKFM844g4EDB/Lggw+ecuJhi969e/PJT36SXr16UViYePubNGkSL7/88iknDD7+\n+OPcfPPNHDx4kPLych544AFOO+00hgwZwsMPP8y8efOYOXMmBQUFXHzxxdx7770deNSyU0fe8SqB\nWzj5UwnNrSeNhC3xJp3JOZCxUD/7e5IayOTjmetiMY9fV5Xrxy75D0dY/3Vk9HU502pra1m4cCFr\n166NupQu7VR/gx09j0Hu/qVJkqQOBYM6EsMKkiRFJhaLnXKI9/TTT6eoqOiky7p16zJcZdflKZEl\nSV3K9ddfz/XXX9/mbfv3789wNbnHUyJLkqSAwUCSJAUMBpIkKeAcA0nKMYWFhY2xWCz1iQWU1woL\nCxuPHDly8vIIapEkhejIkSPFUdeg7NdWKACHEiRJUisGA0mSFDAYSJKkgMFAkiQFDAaSJClgMJAk\nSQGDgSRJChgMJElSwGAgSZICBgNJkhRIJxj0BJ4B/gK8DNwdakWSJCky6XxXwiHgMuBgcv2ngU8n\nf0qSpByS7lDCweTPHkABsCecciRJUpTSDQbdSAwlvAWsJjGkIEmScky6X7t8DKgA+gKPA1VAvOXG\n6upqysvLASgpKaGiooKqqioA4vHEap3VTognS2i5TohtYBswvNV1QmyT2OewHr+o2717F/H++/vJ\nKI9fl22HfrxOaIe5P/F4nNraWoDg9VLKRrGPcJ/vAO8D/zvZbm5ubu68ilKIxWJA5rYHMajJ4OZq\nIJOPZ6Z5/JSuWCy3j13iufCRXoOlUKUzlDAAKEle7wVcAWwMrSJJkhSZdIYSBgH/l0SI6Ab8Gngq\nzKIkSVI00gkGLwCfCLsQSZIUPc98KEmSAgYDSZIUMBhIkqSAwUCSJAUMBpIkKWAwkCRJAYOBJEkK\nGAwkSVLAYCBJkgIGA0mSFDAYSJKkgMFAkiQFDAaSJClgMJAkSQGDgSRJChgMJElSIJ1gMBRYDbwE\nvAjcEGpFkiQpMoVprHMYuAn4C3A68BzwJPBKiHVJkqQIpNNjsItEKADYTyIQlIVWkSRJikxH5xiU\nAxcCz3R+KZIkKWrpDCW0OB14EJhLoucgUF1dTXl5OQAlJSVUVFRQVVUFQDweB+i0dkIcqGp1nRDb\nwDZgeKvrhNgmsc9hPX5Rt5N7iMeva7Z79y7i/fePe/qHK+zjdUI7zMcvHo9TW1sLELxeStkoluZ6\n3YHfAyuABSfc1tzc3NypRbUnFosBmdsexKAmg5urgUw+npnm8evaMnv8cvvYJR7LtF+DpYxJZygh\nBiwEXubkUCBJknJIOsFgMjALuAzYmLxMC7MoSZIUjXTmGDyNJ0KSJCkv+IYvSZICBgNJkhQwGEiS\npIDBQJIkBQwGkiQpYDCQJEkBg4EkSQoYDCRJUsBgIEmSAgYDSZIUMBhIkqSAwUCSJAUMBpIkKWAw\nkCRJAYOBJEkKGAwkSVIgnWDwK+At4IWQa5EkSRFLJxgsAqaFXYgkSYpeOsFgLbA37EIkSVL0nGMg\nSZIChZ3xS6qrqykvLwegpKSEiooKqqqqAIjH4wCd1k6IA1WtrhNiG9gGDG91nRDbJPY5rMcv6nZy\nD/H4dc12cg/J2PEL+3id0A7z8YvH49TW1gIEr5dSNoqluV458Cjw923c1tzc3NxpBaUSi8WAzG0P\nYlCTwc3VQCYfz0zz+HVtmT1+uX3sEo9l2q/BUsY4lCBJkgLpBIOlwB+BvwNeB74cakWSJCky6cwx\nmBl6FZIkKSs4lCBJkgIGA0mSFDAYSJKkgMFAkiQFDAaSJClgMJAkSQGDgSRJChgMJElSwGAgSZIC\nBgNJkhQwGEiSpIDBQJIkBQwGkiQpYDCQJEkBg4EkSQqkEwymAa8CW4Bbwy1HkiRFKVUwKAD+jUQ4\nGAvMBMaEXZQkSYpGqmAwAfgrsB04DDwAzAi5JkmSFJFUwWAw8Hqr9hvJZZIkKQelCgbNGalCkiRl\nhcIUtzcAQ1u1h5LoNWhtUywWu6BTq0opltnN1WR2c7FYhvcv4zx+XVsG968mc5uCjB+7TZncmNRZ\nCoGtQDnQA/gLTj6UJCmvXQlsJjEJ8VsR1yJJkiRJkjIh1wdDO2oMiY9jtnzy4g3gEeCVyCqS8sMY\noAx4Btjfavk04A+RVCTlKU+J/KFbgaXJ688kL92SyxxC6dq+HHUBatcNwH8C3wBeAv6p1W13R1KR\nJJE45XP3Npb3IDG/Ql3X66lXUYReBE5PXi8HNgA3JtsboyhIymepPq6YT46SGELYfsLysuRtym4v\ntHPbmRmrQh9FjA+HD7YDVcBDwNk43CllnMHgQzcCK0n0DrT8hzkUGAV8PaqilLYzSYxH723jtj9m\nuBZ1zNtABYmPQ0MiJFwFLATGRVWUJEHiS6M+BVwDXA1MxPDUVfwKuOQUty09xXJlh6HAwDaWx4BP\nZ7gWSZIkSZIkSZIkSZIkSZIkSZIkSR/J/wcM4ioHwLM8DgAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a176a10>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAhkAAAEFCAYAAABO5vX2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a118e90>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAhMAAAEFCAYAAABZxGU/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n6kD/Hy5oKUUzDcd9AAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a0e96d0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAhkAAAEFCAYAAABO5vX2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f9689f75c10>"
+ ]
+ }
+ ],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Combining Results"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "trappy allows combination of results across different agenda runs as well. This is useful if you want to compare / display results from different runs of Workload Automation"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "unconstrained = trappy.wa.get_results(\"../tests/unconstrained.csv\", name=\"Unconstrained\")\n",
+ "constrained = trappy.wa.get_results(\"../tests/constrained.csv\", name=\"Constrained\")\n",
+ "\n",
+ "results = trappy.wa.combine_results([unconstrained, constrained])\n",
+ "results"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr>\n",
+ " <th></th>\n",
+ " <th colspan=\"2\" halign=\"left\">antutu</th>\n",
+ " <th colspan=\"2\" halign=\"left\">egypt_offscreen</th>\n",
+ " <th colspan=\"2\" halign=\"left\">t-rex_offscreen</th>\n",
+ " <th colspan=\"2\" halign=\"left\">geekbench</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th></th>\n",
+ " <th>Unconstrained</th>\n",
+ " <th>Constrained</th>\n",
+ " <th>Unconstrained</th>\n",
+ " <th>Constrained</th>\n",
+ " <th>Unconstrained</th>\n",
+ " <th>Constrained</th>\n",
+ " <th>Unconstrained</th>\n",
+ " <th>Constrained</th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0</th>\n",
+ " <td>2</td>\n",
+ " <td>2</td>\n",
+ " <td>864</td>\n",
+ " <td>334</td>\n",
+ " <td>185</td>\n",
+ " <td>560</td>\n",
+ " <td>6</td>\n",
+ " <td>1</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1</th>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>802</td>\n",
+ " <td>242</td>\n",
+ " <td>878</td>\n",
+ " <td>872</td>\n",
+ " <td>6</td>\n",
+ " <td>1</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2</th>\n",
+ " <td>6</td>\n",
+ " <td>7</td>\n",
+ " <td>47</td>\n",
+ " <td>190</td>\n",
+ " <td>262</td>\n",
+ " <td>918</td>\n",
+ " <td>1</td>\n",
+ " <td>3</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3</th>\n",
+ " <td>4</td>\n",
+ " <td>4</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>588</td>\n",
+ " <td>5</td>\n",
+ " <td>7</td>\n",
+ " <td>2</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4</th>\n",
+ " <td>6</td>\n",
+ " <td>2</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>559</td>\n",
+ " <td>494</td>\n",
+ " <td>9</td>\n",
+ " <td>8</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5</th>\n",
+ " <td>9</td>\n",
+ " <td>8</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " <td>NaN</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 5,
+ "text": [
+ " antutu egypt_offscreen t-rex_offscreen \\\n",
+ " Unconstrained Constrained Unconstrained Constrained Unconstrained \n",
+ "0 2 2 864 334 185 \n",
+ "1 NaN NaN 802 242 878 \n",
+ "2 6 7 47 190 262 \n",
+ "3 4 4 NaN NaN 588 \n",
+ "4 6 2 NaN NaN 559 \n",
+ "5 9 8 NaN NaN NaN \n",
+ "\n",
+ " geekbench \n",
+ " Constrained Unconstrained Constrained \n",
+ "0 560 6 1 \n",
+ "1 872 6 1 \n",
+ "2 918 1 3 \n",
+ "3 5 7 2 \n",
+ "4 494 9 8 \n",
+ "5 NaN NaN NaN "
+ ]
+ }
+ ],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "results.plot_results()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAf0AAAEFCAYAAADpDT78AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3X+YVXW96PH3nhmQGZlfQiEDwqBcrz8qh8JE0hwqTBLJ\nuIpZomOdvEe5Fpl1II8wcfD0YIr6PDcrO+JoRpb9RDPrau7sB2k9RzgF/ggEMSEPIDADCDLMun/s\nmc0wzrD3bGfN3mvN+/U8+3F/9/qxPx/XZn9mrc9aa4MkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZLi\nIAm8BgzuxTJtwPG9mL8J+LdezC9JkVWU7wCkHtQC7wX+G5jRy2UTfR6NJEkKzQJgBXAD8FCn15uA\nrwMPA83AHzm0Z/8kqT393UALMAtoAH7bZd1twAnAVcAbwP72+X/WaXrnowVNeDRAkqTQrAM+CfwP\nUoX5be2vNwHbgIlAMXA/8L1Oy3Ut2A10X/Q75rkHWHSE6T3NI0mR4+F9FaKzgFGk9vT/Bqwl9QdA\nhx8DfwYOAt8F6t7i+2XTDrBlICnyLPoqRFcAvyJ1yB3gwfbXOrza6fnrwNB+ikuSIq0k3wFIXZSS\n6sUXAVvaXzsKqATeBQS9XN8eoKzT+Ngu07tb394uy4wEXu7l+0pSwXFPX4XmQqAVOBk4rf1xMvA7\n4PIsln+V1El6HVYDp7avZwjQ2M38XS/xW0WqnVAMnAe8vzcJSJKk7PwC+Fo3r19Mas//Pg4/qa4e\n2NRp/L+BzcAO4KL2174MbAVeIlXMD3Ko0I8Hnmmf/8ftr70H+CupqwPuI3XegCfySRoQPgf8hdSX\n4OfyHIskSQrJO0gV/CGkDnX+Pw4/dCpJkiIiU0//JOApYB+pQ6K/AWaGHZQkSep7mYr+X4GzgWNI\nnc18PjA67KAkSVLfy3TJ3nPAElLXTO8hdcJTW+cZTjjhhGD9+vXhRCdJ8bWat35jKalXsrlkbxmp\nW56eA+wEnu88cf369QRB0G+PhQsX9uv79ffD/KL9ML/8P1KCHB70d5ynvaVvbykH2dyc5+2kfuls\nDPAx4IxQI5IkSaHIpuj/EBgGHACuIXXtct5s3Lgxn28fOvOLNvOTVMiyKfoFdTeyurp4t8DML9rM\nT1Ih64tfDgsO9dEkKb8SiQS9/4kGgAT9+V2WitNfb1T/8t77kiQNEJEr+slkMt8hhMr8os38JBWy\nyBV9SZKUG3v6kmLFnr7UM/f0JUkaICJX9OPeUzS/aDM/SYUsckVfkiTlxp6+pFixpy/1zD19SZIG\niMgV/bj3FM0v2sxPUiGLXNGXJEm5sacvKVbs6Us9c09fkqQBInJFP+49RfOLNvOTVMgiV/QlSVJu\nsuknzQcuA9qAvwBXAvs7TbenL6lg2NOXepZpT78W+AzwbuCdQDHw8ZBjkiRJIchU9JuBA0AZUNL+\n31fCDupI4t5TNL9oMz9JhSxT0X8NuBXYBGwGdgKPhR2UJEnqeyUZpp8AzCV1mH8X8CDwSeC7nWdq\naGigtrYWgKqqKurq6qivrwcO7Rn01bjjtbDWn++x+UV7bH6FMT6kY1yfeVyU7rP3SunRpezdvTdj\nfMlkkqamJoD096XU3zJ9wi8BpgL/1D6eDUwC5nSaxxP5JBWMt3IiH405LNZITicAeiKf8iHT4f3n\nSBX5UlIfzg8Ba8MO6kje/Jd8vJhftJmfpEKWqeivBu4D/gz8V/trd4UakSRJCoX33pcUKx7el3rm\nHfkkSRogIlf0495TNL9oMz9JhSxyRV+SJOXGnr6kWLGnL/XMPX1JkgaIyBX9uPcUzS/azE9SIYtc\n0ZckSbmxpy8pVuzpSz1zT1+SpAEickU/7j1F84s285NUyCJX9CVJUm7s6UuKFXv6Us/c05ckaYCI\nXNGPe0/R/KLN/CQVssgVfUmSlBt7+pJixZ6+1LNs9vT/J/BMp8cu4LNhBiVJkvpeNkX/eWBC++M9\nwF7gJ2EGdSRx7ymaX7SZn6RC1tue/oeA9cDLIcQiSZJC1Nt+0jLgz8CdnV6zpy+pYNjTl3pW0ot5\nBwMXAP/SdUJDQwO1tbUAVFVVUVdXR319PXDocKBjxwBlQ8t4fc/r9FZ5ZTnNO5vzHr/jaIwP6RjX\nZzfe0D4cR+/GHWs7QnzJZJKmpiaA9Pel1N9681fmR4GrgfO6vN6ve/rJZDL9DyqO4p5fItG/e1P9\nLe7bLwr5uacv9aw3Pf1Lge+FFYgkSQpXtn9lHg28ROqgVkuXafb0lbW47+kr/9zTl3qWbU9/DzA8\nzEAkSVK4Incb3jefqBMvcc8v7uK+/eKenxR3kSv6kiQpN957X/3Knr7CZk9f6pl7+pIkDRCRK/px\n7ynGPb+4i/v2i3t+UtxFruhLkqTc2NNXv7Knr7DZ05d65p6+JEkDROSKftx7inHPL+7ivv3inp8U\nd5Er+pIkKTf29NWv7OkrbPb0pZ65py9J0gARuaIf955i3POLu7hvv7jnJ8Vd5Iq+JEnKjT199St7\n+gqbPX2pZ+7pS5I0QGRT9KuAHwLPAmuBSaFGlEHce4pxzy/u4r794p6fFHclWcxzB/AIcFH7/EeH\nGpEkSQpFpn5SJfAMcPwR5rGnr6zZ01fY7OlLPct0eH8csBW4B/hP4NtAWdhBSZKkvpfp8H4J8G7g\n/wB/Am4H5gELOs/U0NBAbW0tAFVVVdTV1VFfXw8c6gH21fj2228Pdf35HkclvxkzZtLSsoOcbCD1\n52THc7IYtyuU/Afq9hs8eAi//OUv8h5/pvEhHeP67MbZfh5z+Hwmk0mampoA0t+XUn/LdGjpWGAl\nhz7iZ5Eq+tM7zdOvh/eTyWT6H1QcRSW/qBxC7W8DYfsV+naIymfTw/vKh0yH9/8BvAyc2D7+ELAm\n1IgyiMIX6lsR9/zizu0nqZBlc/b+tcB3gcHAeuDKUCOSJEmhyOY6/dXA6cBpwExgV6gRZfDmnl28\nxD2/uHP7SSpk3pFPkqQBwnvvKydROVlK3fNEvm6X9EQ+xZ57+pIkDRCRK/px75nGPb+4c/tJKmSR\nK/qSJCk39vSVk6j0TdU9e/rdLmlPX7Hnnr4kSQNE5Ip+3Humcc8v7tx+kgpZ5Iq+JEnKjT195SQq\nfVN1z55+t0va01fsuacvSdIAEbmiH/eeadzzizu3nwpBSUlJM6nDHT4G4KN9+3crm1/ZkyRFSGtr\na3mht2EUnkQiUd7jtD5Yvz39ASgqfVN1z55+t0vGqafv9/IAdqTPVuQO70uSpNxkW/Q3Av8FPAM8\nHVo0WYh7zzTu+cWd209SIcu2px8A9cBr4YUiSZLC1JvD+wVxPWl9fX2+QwhV3POLO7efpM6uvvpq\nFi9eHMq6i4qKePHFF3u3TJbzBcBjwJ+Bz/QyLklSnlVUHEMikQjtUVFxTMYYuitSjY2NzJ49O6y0\n35La2lp+/etfv6V1fOMb3+Bf//Vf+yiity7bov8+YAIwDZgDnB1aRBnEvWca9/zizu2nQtXSsoMw\nLw9Prb/32s80L0iJxJGvVmltbe3HaPpGtkV/S/t/twI/Ad7beWJDQwONjY00NjZy++23H/bFl0wm\n+3S8atWqUNef73HU8oNk+yPbMbChy/NejPOdr9uPvMabzfhwmfLpMu7l5/Gw5xniSyaTNDQ0pL8v\nldK5qCaTSUaPHs3SpUsZMWIENTU1NDU1pae//vrrfOELX6C2tpaqqirOPvts9u3bB8CKFSs49dRT\nqa6uZsqUKTz33HPp5Wpra7n11ls57bTTqKqq4uMf/zj79+8HYNu2bUyfPp3q6mqGDRvG+9//foIg\nYPbs2WzatIkLLriA8vJybrnlFjZu3EhRURHLli1j7NixfOhDHwLg4osvZuTIkVRVVXHOOeewdu3a\n9Hs3NDRw4403ZpXf/v37uf766xk7dizHHnssV199dTo/gK997WvU1NQwevRoli1b1ncboYsyoONC\n/6OB3wPndpoeaOABAghyeBDQmMPDz1mfeivbr9BF5bNJbjcTyFYf/n/pu89GIpEI1q9ff9hrCxcu\nDC677LIgCILgiSeeCEpKSoKFCxcGra2twSOPPBKUlZUFO3fuDIIgCK655ppgypQpwebNm4ODBw8G\nK1euDPbv3x88//zzwdFHHx089thjQWtra3DzzTcH48ePDw4cOBAEQRDU1tYGZ5xxRrBly5bgtdde\nC04++eTgm9/8ZhAEQTBv3rzgn//5n4PW1tagtbU1+N3vfpeOrba2Nnj88cfT4w0bNgSJRCK44oor\ngr179wb79u0LgiAI7rnnnmD37t3BG2+8EcydOzeoq6tLL9PQ0BDceOONWeU3d+7c4KMf/WiwY8eO\noKWlJbjggguC+fPnB0EQBL/4xS+CESNGBGvWrAn27NkTXHrppd3+/8z02cpmT38E8FtgFfAU8DDw\nqz74UEqSdJhBgwaxYMECiouLmTZtGkOHDuX555+nra2Ne+65hzvuuIORI0dSVFTEpEmTGDx4MN//\n/veZPn06H/zgBykuLub666/n9ddf5w9/+EN6vZ/97Gc59thjqa6u5oILLmDVqlUADB48mC1btrBx\n40aKi4t53/velzHGxsZGSktLOeqoo4DU3vzRRx/NoEGDWLhwIatXr6alpSU9f9DpaEZP+QVBwLe/\n/W2WLl1KVVUVQ4cOZf78+TzwwAMA/OAHP+BTn/oUp5xyCmVlZXzlK1/J6f9vNkV/A1DX/ngH8NWc\n3qmPvPnwXbzEPb+4c/tJPSsuLubAgQOHvXbgwAEGDRqUHg8bNoyiokOlqaysjN27d7Nt2zb27dvH\nCSec8Kb1btmyhTFjxqTHiUSC4447jldeeSX92rHHHpt+Xlpayu7duwH44he/yPjx4zn33HM54YQT\nWLJkScY8jjvuuPTztrY25s2bx/jx46msrGTcuHFAqm3QnZ7y27p1K3v37uU973kP1dXVVFdXM23a\ntPR6tmzZctj7ds63N7wjnySpX4wZM4YNGw4/EWLDhg3U1tZmXHb48OEMGTKEdevWvWlaTU0NL730\nUnocBAEvv/wyo0aN6nZdnU8eHDp0KLfccgvr169nxYoVLF26lCeeeOJN8/W0/He/+11WrFjB448/\nzq5du9L5dd67z+ZkxeHDh1NaWsratWvZsWMHO3bsYOfOnTQ3p347Z+TIkWzatCk9f+fnvRG5oh/3\n66Djnl/cuf2knl1yySUsXryYV155hba2Nh577DEefvhhLrrooozLFhUV8alPfYrrrruOLVu2cPDg\nQVauXMkbb7zBrFmz+PnPf86vf/1rDhw4wK233sqQIUOYPHlyt+vqXJAffvhh1q1bRxAEVFRUUFxc\nnN4THzFiBOvXrz9iXLt37+aoo47imGOOYc+ePXz5y19+03t1fr8j5feZz3yGuXPnsnXrVgBeeeUV\nfvWrVDd91qxZNDU18eyzz7J3795QD+9LkiKuvLya1D3Wwnmk1n9kCxYsYPLkyZx11lkcc8wxzJs3\nj+XLl3PKKaek5znSXvEtt9zCO9/5Tk4//XSGDRvG/PnzaWtr48QTT+T+++/n2muv5W1vexs///nP\neeihhygp6f6msx33FgBYt24dU6dOpby8nMmTJzNnzhzOOeccAObPn8/ixYuprq5m6dKl3cZ3+eWX\nM3bsWEaNGsU73vEOzjzzzMPm6fxemfJbsmQJ48ePZ9KkSVRWVjJ16lReeOEFAM477zzmzp3LBz7w\nAU488UQ++MEP5nS5Y+R+ZS+ZTMZ6byoq+UXll8z620DYfoW+HaLy2fRX9hQWf2VPkiRFb09fhSEq\ne1Pqnnv63S7pnr5iwT19SZIUvaIf9+ug455f3Ln9JBWyyBV9SZKUG3v6yklU+qbqnj39bpe0p69Y\nsKcvSZKiV/Tj3jONe35x5/aTVMgiV/QlSepv5eXlbNy4sc/X29TUxNlnn93n6+1J5Ip+FO529lbE\nPb+4c/upUFVUVaRvCRvGo6KqIutYli9fzsSJEykvL6empoaPfOQj/P73vw8l72Qyediv0+WqpaUl\nqx8GKnTd35hYkhQrLbtacjtRMdv1N7ZknglYunQpS5Ys4Vvf+hYf/vCHGTx4MI8++igrVqzI6rfs\nw3Dw4EGKi4vz8t79LXJ7+nHvmcY9v7hz+0k927VrFwsXLuTOO+/kwgsvpLS0lOLiYs4//3yWLFnC\n/v37mTt3LqNGjWLUqFF8/vOf54033gBS/7ZGjx7N0qVLGTFiBDU1NTQ1NaXX/cgjj3DqqadSUVGR\nnm/v3r1MmzaNzZs3U15eTkVFBVu2bKGxsZGLLrqI2bNnU1lZyb333suf/vQnzjzzTKqrq6mpqeHa\na6/lwIED6fUXFRXx4osvAtDQ0MCcOXOYPn06FRUVTJo0KT0N4LnnnmPq1KkMGzaMk046iQcffDA9\nbfv27cyYMYPKykrOOOOMjL/i19eyLfrFwDPAQyHGIkmKsZUrV7Jv3z4+9rGPdTv9pptu4umnn2b1\n6tWsXr2ap59+msWLF6env/rqqzQ3N7N582buvvtu5syZw65duwD49Kc/zV133UVzczNr1qxhypQp\nlJWV8eijj1JTU0NLSwvNzc2MHDkSgBUrVnDxxReza9cuPvGJT1BcXMwdd9zB9u3bWblyJY8//jh3\n3nlnj7l8//vfp7GxkR07djB+/HhuuOEGAPbs2cPUqVO57LLL2Lp1Kw888ADXXHMNzz77LABz5syh\nrKyMf/zjHyxbtox77rknp1/Ly1W2Rf9zwFpyu/i1T8W9Zxr3/OLO7Sf1bPv27QwfPjz9e/VdLV++\nnAULFjB8+HCGDx/OwoUL+c53vpOePmjQIBYsWEBxcTHTpk1j6NChPP/88wAMHjyYNWvW0NzcTGVl\nJRMmTAB6vofC5MmTmTFjBgBDhgzh3e9+N+9973spKipi7NixXHXVVfzmN7/pdtlEIsHMmTOZOHEi\nxcXFfPKTn2TVqlUAPPzww4wbN44rrriCoqIi6urqmDlzJg8++CAHDx7kxz/+MYsWLaK0tJRTTz2V\nK664ol/vfZFN0R8NfAT4D8K7kYQkKeaGDRvGtm3baGtr63b65s2bGTt2bHo8ZswYNm/efNjynf9g\nKCsrY/fu3QD86Ec/4pFHHqG2tpb6+nr++Mc/HjGW0aNHHzZ+4YUXmD59OiNHjqSyspIbbriB7du3\n97j8iBEj0s9LS0vTcbz00ks89dRTVFdXpx/Lly/n1VdfZdu2bbS2th52YuGYMWOOGGdfy6bo3wZ8\nEeh+K/WzuPdM455f3Ln9pJ6deeaZHHXUUfzkJz/pdnpNTc1hl8Vt2rSJmpqarNY9ceJEfvrTn7J1\n61YuvPBCZs2aBdDtofOOKw46u/rqqznllFNYt24du3bt4qabburxj5MjGTNmDOeccw47duxIP1pa\nWvj617/O8OHDKSkpYdOmTYfl2J8ynb0/HfhvUv38+p5mamhoSF/KUFVVRV1dXfowZ8eXYF+NOw6h\nhLX+fI+jkt8hHeP6LMfABmBcp+dkMe5YWz/lN2PGTFpadtBfyivLad7ZHIntl0wm8/75Cy2/bD+P\nOXw+k8lk+sSzOFz6lYvKykoWLVrEnDlzKCkpYerUqQwaNIjHHnuMZDLJpZdeyuLFizn99NMBWLRo\nEbNnz8643gMHDvCDH/yA6dOnU1lZSXl5efps/BEjRrB9+3aam5upqEhdVtjd4fTdu3dTXl5OWVkZ\nzz33HN/4xjd4+9vf3u37Helw/Pnnn8+8efO4//77ueSSS4DU93p5eTknnXQSM2fOpLGxkWXLlrFh\nwwbuvfdejj/++Iw59pVMh+v/HZgNtAJDgArgR8DlnebxHs8DUFTub54r8+txSe+931VjNO69X1FV\nkbpsLyQdf7hmY/ny5dx22208++yzlJeXM3HiRG644QYmTJjAl770pfTZ7rNmzeLmm29m8ODBJJNJ\nLr/88sP2jMeNG8fdd9/N2WefzYwZM3jqqac4ePAgJ510ErfddhuTJ08GUif5/exnP6OtrY01a9Zw\n1113sX79eu677770un77299y1VVX8fe//50JEyYwZcoUnnjiCZ588kkAiouL+dvf/sbxxx/PlVde\nyXHHHceiRYsA3hTbCy+8wHXXXcfTTz9NW1sbdXV1LF26lHe9611s27aNK6+8kieffJKTTz6Zc889\nl2QymX6fvnCkz1ZvPnDnANcDF3R53aI/AEXlizVX5tfjkhb9rhqjUfQ1cPTlD+7k/VMU955p3POT\nJOVPb+7I95v2hyRJiqDI3ZEv7tdBxz0/SVL+RK7oS5Kk3ESu6Me95x33/CRJ+RO5oi9JknITuZ/W\njXvPO+75SQpfSUlJSyKRKM93HMqPkpKSltbW1u6n9XMskqSQtba2VuQ7BuVPTwUfInh4P+4977jn\nJ0nKn8gVfUmSlJvIFf2497zjnp8kKX8iV/QlSVJuIlf0497zjnt+kqT8iVzRlyRJuYlc0Y97zzvu\n+UmS8idyRV+SJOUmckU/7j3vuOcnScqfyBV9SZKUm2yK/hDgKWAVsBb4aqgRZRD3nnfc85Mk5U82\n997fB0wB9rbP/zvgrPb/SpKkiMj28P7e9v8OBoqB18IJJ7O497zjnp8kKX+yLfpFpA7vvwo8Qeow\nvyRJipBsf1q3DagDKoFfAvVAsmNiQ0MDtbW1AFRVVVFXV5fuTXfsufbVuOO1sNaf73FU8jukY1yf\n5RjYAIzr9Jwsxh1rM78+GXd6xwz5dB3H/POZ7fbKYfslk0mampoA0t+XUn9L5LDMjcDrwC3t4yAI\ngr6LSJGQSCSAXLZ7AhpzWKwR+vNzZn49LtmvceYiKtsuFWdO38FSzrI5vD8cqGp/XgpMBZ4JLaIM\n4t7zjnt+kqT8yebw/kjgXlJ/IBQB3wEeDzMoSZLU97Ip+n8B3h12INmK+3Xscc9PkpQ/3pFPkqQB\nInJFP+4977jnJ0nKn8gVfUmSlJvIFf2497zjnp8kKX8iV/QlSVJuIlf0497zjnt+kqT8iVzRlyRJ\nuYlc0Y97zzvu+UmS8idyRV+SJOUmckU/7j3vuOcnScqfyBV9SZKUm8gV/bj3vOOenyQpfyJX9CVJ\nUm4iV/Tj3vOOe36SpPyJXNGXJEm5iVzRj3vPO+75SZLyJ5uifxzwBLAG+Cvw2VAjkiRJocim6B8A\nPg+cCkwC5gAnhxnUkcS95x33/CRJ+ZNN0f8HsKr9+W7gWaAmtIgkSVIoetvTrwUmAE/1fSjZiXvP\nO+75SZLyp6QX8w4Ffgh8jtQef1pDQwO1tbUAVFVVUVdXly5eHYeru45nzJhJS8uO3kecAILeL1Ze\nWU7zzuYe43Hcu/EhHeP6LMfABmBcp+dkMe5Ym/n1ybjTO2bIp8s4AYlEgt7q739/h3SJP9M42+2V\nw/ZLJpM0NTUBpL8vpf6W7b/eQcDDwC+A27tMC4Kg91U49cWRQ/UmAY05LNYIucTZ35LJZCT29uO+\n/cyvxyULPr+o5Nb+x1Pv/4KS3oJsDu8ngLuBtby54EuSpIjIpui/D7gMmAI80/44L8ygBrIo7OVL\nkqIpm57+74jgTXwkSdLhLOYFxuv0JUlhsehLkjRAWPQLjD19SVJYLPqSJA0QFv0CY09fkhQWi74k\nSQOERb/A2NOXJIXFoi9J0gBh0S8w9vQlSWGx6EuSNEBY9AuMPX1JUlgs+pIkDRAW/QJjT1+SFBaL\nviRJA4RFv8DY05ckhcWiL0nSAJFN0V8GvAr8JeRYhD19SVJ4sin69wDnhR2IJEkKVzZF/7fAjrAD\nUYo9fUlSWOzpS5I0QJT0xUoaGhqora0FoKqqirq6uvQea0ePuuv4kI5xfZZjYAMwrtNzshh3rK2H\neAplfPvtt2f1/y/f40M6xvVZjonE9uv0jhny6TrG/Lobd6yt0PPLNp8c8ksmkzQ1NQGkvy+l/pbI\ncr5a4CHgnd1MC4Ig6P0bJxJA75eDBDTmsFgj5BJnf0smk5E4xB/37Wd+PS5Z8PlFJbdUnFl/B0t9\nwsP7BSYKBV+SFE3ZFP3vAX8ATgReBq4MNSJJkhSKbIr+pUANcBRwHKlL+BQSr9OXJIXFw/uSJA0Q\nFv0CY09fkhQWi74kSQOERb/A2NOXJIXFoi9J0gBh0S8w9vQlSWGx6EuSNEBY9AuMPX1JUlgs+pIk\nDRAW/QJjT1+SFBaLviRJA4RFv8DY05ckhcWiL0nSAGHRLzD29CVJYbHoS5I0QGRT9M8DngP+BvxL\nuOHInr4kKSyZin4x8H9JFf5TgEuBk8MOaiBbtWpVvkOQJMVUpqL/XmAdsBE4ADwAfDTkmAa0nTt3\n5jsESVJMZSr6o4CXO43/3v6aJEmKmExFP+iXKJS2cePGfIcgSYqpRIbpk4BGUj19gPlAG7Ck0zyr\ngNP6PDJJirfVQF2+g5A6KwHWA7XAYFIF3hP5JEmKqWnA86RO6Juf51gkSZIkSdKRFOc7gAxOBv4J\nuAT4CPAu4DVgWz6DUtZOJnW+x1bgjU6vn0fqyFHUnQUMJZVfPXARUApsyGNM6r2zgYuBclLtTEl5\n8C+kziGYB1zW/pjf/lrc2wxX5juAPvBZUm2hnwIvARd2mvZMXiLqW18F/gj8Cbi5/fmNwJPAF/MY\nV5juy3cAfeTpTs8/Q+o7ZSHwe+L/3SIVrL8Bg7p5fTDx2Es8kpczz1Lw/kpqLxhSJ4L+GZjbPo5D\n0V9L6kTXMqAFqGx/vRT4r3wF1YceAla0/7fjsafT61HW+fP3Z+Bt7c+PJvW5lWKrJN8BHMFBUjcC\n2tjl9Zr2aVH3lyNMe3u/RRGeBLC7/flGUoe/fwSMJfOlolHwBtDa/lgP7Gp//XVSl7VG3WhSf9j8\nB6l8EsBE4JZ8BtVHioFjSOVUTKo9A6k/alrzFZQ00HX0fR8Fvt3+eJTUF+y0PMbVV14FJpDaC+76\n2JyfkPrUE7z5GuRBpA4Rx6EoPkVqLx8Ov8lVFfCf/R9OnysGrgMeI/U5hficq7CRVC4bgBeBke2v\nl5M61C8pT4qBM0mdIPW/SN0sqJCPTvTGMlInEHXne/0ZSEiOA47t5vUEqRPgom5ID68PB97Zn4GE\nbDTwIPDwXp6GAAAALElEQVR14tF2OpIyYFy+g5AkKd+mA/+e7yAkSZIkSZIkSZIkSZIkSZKkyPr/\nZaTzicOSKXUAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f9689fc3090>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAgoAAAEFCAYAAACCf88dAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a1119d0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAg8AAAEFCAYAAABkVgRZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f968a390590>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAf0AAAEFCAYAAADpDT78AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSZIkSZIkSZIkSZJUtP4/Y3wp7Q/ryrMAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f9689fe2150>"
+ ]
+ }
+ ],
+ "prompt_number": 6
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/Dynamic traces.ipynb b/doc/Dynamic traces.ipynb
new file mode 100644
index 0000000..887505f
--- /dev/null
+++ b/doc/Dynamic traces.ipynb
@@ -0,0 +1,275 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:4e50c9159c1a001f1a581f813b7e533f0ef5d8ec4220406180bad11eb9cdba5c"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Registering arbitrary trace events"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`trappy` knows about some trace events. You can add your own in the notebook without having to change any code in `trappy`. After the trace is registered, the next time you parse a trace file that information will be part of the trace object as a pandas `DataFrame` and can be analyzed like the other `DataFrame`s in the `FTrace` class.\n",
+ "\n",
+ "The trace event must follow the following format:\n",
+ "\n",
+ " title: key0=value0 key1=value1 key2=value2 ...\n",
+ "\n",
+ "The title should be something that's unique in the trace. For example, you can generate trace with the following `trace_printk()`:\n",
+ "\n",
+ " trace_printk(\"thermal_gpu_power_get: frequency=%u load=%d\\n\", freq, load);\n",
+ "\n",
+ "which will appear in the `trace.txt` as:\n",
+ "\n",
+ " kworker/6:1-457 [006] 144.439566: bprint: 0xc042f8a0f: thermal_gpu_power_get: frequency=177 load=0\n",
+ "\n",
+ "You can add this event to the trace instance using `register_dynamic_ftrace()`"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "First import trappy"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import sys\n",
+ "sys.path.append(\"..\")\n",
+ "%matplotlib inline\n",
+ "import trappy"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Register it. The first argument is the name under which you will find it in the trace instance. It will be changed to lower_case_with_underscores_to_separate_words. The second argument is some unique text in the trace, usually the title:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.register_dynamic_ftrace(\"gpu_power_in\", \"thermal_gpu_power_get\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 2,
+ "text": [
+ "trappy.dynamic.gpu_power_in"
+ ]
+ }
+ ],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Now we can parse the trace"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace = trappy.FTrace(\"/path/to/trace\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`trace` now has a `gpu_power_in` member that contains the information. We can see the first few lines of the generated dataframe:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace.gpu_power_in.data_frame.head()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr style=\"text-align: right;\">\n",
+ " <th></th>\n",
+ " <th>frequency</th>\n",
+ " <th>load</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>Time</th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>109.999957</th>\n",
+ " <td> 480</td>\n",
+ " <td> 96</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>110.099925</th>\n",
+ " <td> 480</td>\n",
+ " <td> 100</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>110.199930</th>\n",
+ " <td> 480</td>\n",
+ " <td> 100</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>110.299938</th>\n",
+ " <td> 480</td>\n",
+ " <td> 100</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>110.399924</th>\n",
+ " <td> 480</td>\n",
+ " <td> 100</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 5,
+ "text": [
+ " frequency load\n",
+ "Time \n",
+ "109.999957 480 96\n",
+ "110.099925 480 100\n",
+ "110.199930 480 100\n",
+ "110.299938 480 100\n",
+ "110.399924 480 100"
+ ]
+ }
+ ],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We can now plot it or manipulate it as any other `DataFrame` in pandas"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "figsize(18, 7)\n",
+ "trace.gpu_power_in.data_frame[\"frequency\"].plot()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 8,
+ "text": [
+ "<matplotlib.axes.AxesSubplot at 0x7f7766c67f50>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABBkAAAG2CAYAAAA6DV5uAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCCGEEEIIIYQQUgb+P2cpksZaSSpwAAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f7766c76610>"
+ ]
+ }
+ ],
+ "prompt_number": 8
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Advanced usage"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`register_dynamic_ftrace()` is useful for simple traces in which you don't need to do any post-processing. If you need to register a full-featured trace class you can use `trappy.register_ftrace_parser()` for this. For example, a class that parses trace for `capacity_per_group:` and wants to limit the cpumasks to 8-digit could declare it like this:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "from trappy.base import Base\n",
+ "class GroupCapacity(Base):\n",
+ "\n",
+ " unique_word = \"capacity_per_group:\"\n",
+ " name = \"group_capacity\"\n",
+ " _cpus_column = \"cpus\"\n",
+ "\n",
+ " def __init__(self):\n",
+ " super(GroupCapacity, self).__init__(\n",
+ " unique_word=self.unique_word,\n",
+ " )\n",
+ "\n",
+ " def finalize_object(self):\n",
+ " if self._cpus_column in self.data_frame.columns:\n",
+ " self.data_frame[self._cpus_column] = self.data_frame[self._cpus_column].apply('{:0>8}'.format)\n",
+ "\n",
+ "trappy.register_ftrace_parser(GroupCapacity)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 8
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Now after parsing your trace using `trappy.FTrace()` you can access it's group_capacity member. For example:\n",
+ "\n",
+ " trappy.LinePlot(trace, GroupCapacity, column=\"group_capacity\", pivot=\"cpus\", marker='.', linestyle='none', per_line=2).view()"
+ ]
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/FTrace class.ipynb b/doc/FTrace class.ipynb
new file mode 100644
index 0000000..4e1ef28
--- /dev/null
+++ b/doc/FTrace class.ipynb
@@ -0,0 +1,2178 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:26eddef33113120cd011fe5e26150939f2cb0ccd2dc2a20dc72e6988808ee6c8"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "The FTrace class"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "The `FTrace` class allows you to inspect the data for the run. Its main purpose is to parse the trace information into pandas DataFrames. Each tracepoint gets its own DataFrame."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "First some hacks to allow importing trappy from here"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import sys\n",
+ "sys.path.append(\"..\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline\n",
+ "import trappy"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "output_type": "stream",
+ "stream": "stdout",
+ "text": [
+ "Populating the interactive namespace from numpy and matplotlib\n"
+ ]
+ }
+ ],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "The `FTrace` class has one mandatory parameter: the path to `trace.dat` or `trace.txt`."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace = trappy.FTrace(\"./trace.txt\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "You can access the DataFrame for each tracepoint using the `.data_frame` property. For example, the `trace_thermal` tracepoint is in the `.thermal` property of `FTrace`"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace.thermal.data_frame"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr style=\"text-align: right;\">\n",
+ " <th></th>\n",
+ " <th>__comm</th>\n",
+ " <th>__cpu</th>\n",
+ " <th>__pid</th>\n",
+ " <th>id</th>\n",
+ " <th>temp</th>\n",
+ " <th>temp_prev</th>\n",
+ " <th>thermal_zone</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>Time</th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0.000000</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68786</td>\n",
+ " <td>68794</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.099539</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68781</td>\n",
+ " <td>68786</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.199957</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68782</td>\n",
+ " <td>68781</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.299112</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68835</td>\n",
+ " <td>68782</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.399646</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68882</td>\n",
+ " <td>68835</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.499651</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68907</td>\n",
+ " <td>68882</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.609645</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68943</td>\n",
+ " <td>68907</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.708361</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68959</td>\n",
+ " <td>68943</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.810043</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68947</td>\n",
+ " <td>68959</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.909460</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68950</td>\n",
+ " <td>68947</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.008723</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68952</td>\n",
+ " <td>68950</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.108719</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68965</td>\n",
+ " <td>68952</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.209270</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68978</td>\n",
+ " <td>68965</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.309819</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68989</td>\n",
+ " <td>68978</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.408818</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69007</td>\n",
+ " <td>68989</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.509182</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69008</td>\n",
+ " <td>69007</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.619889</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68996</td>\n",
+ " <td>69008</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.718734</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68990</td>\n",
+ " <td>68996</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.819079</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69007</td>\n",
+ " <td>68990</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.918692</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69026</td>\n",
+ " <td>69007</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.018747</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69074</td>\n",
+ " <td>69026</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.118722</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69113</td>\n",
+ " <td>69074</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.219281</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69131</td>\n",
+ " <td>69113</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.319764</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69161</td>\n",
+ " <td>69131</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.419244</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69155</td>\n",
+ " <td>69161</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.519402</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69203</td>\n",
+ " <td>69155</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.618870</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69229</td>\n",
+ " <td>69203</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.718664</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69269</td>\n",
+ " <td>69229</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.818393</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69294</td>\n",
+ " <td>69269</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.919531</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69313</td>\n",
+ " <td>69294</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>...</th>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3.719973</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69498</td>\n",
+ " <td>69480</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3.818846</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69513</td>\n",
+ " <td>69498</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3.919578</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69556</td>\n",
+ " <td>69513</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.018333</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69607</td>\n",
+ " <td>69556</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.118291</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69647</td>\n",
+ " <td>69607</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.219773</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69657</td>\n",
+ " <td>69647</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.318951</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69663</td>\n",
+ " <td>69657</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.419577</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69685</td>\n",
+ " <td>69663</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.519842</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69710</td>\n",
+ " <td>69685</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.618309</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69733</td>\n",
+ " <td>69710</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.719834</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69714</td>\n",
+ " <td>69733</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.818769</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69700</td>\n",
+ " <td>69714</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.920188</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69667</td>\n",
+ " <td>69700</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.022114</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69621</td>\n",
+ " <td>69667</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.119599</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69577</td>\n",
+ " <td>69621</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.218946</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69546</td>\n",
+ " <td>69577</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.319060</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69530</td>\n",
+ " <td>69546</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.418773</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69509</td>\n",
+ " <td>69530</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.528891</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69523</td>\n",
+ " <td>69509</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.628737</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69515</td>\n",
+ " <td>69523</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.728319</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69489</td>\n",
+ " <td>69515</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.829462</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69469</td>\n",
+ " <td>69489</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.928346</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69478</td>\n",
+ " <td>69469</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.029079</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69453</td>\n",
+ " <td>69478</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.139673</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69442</td>\n",
+ " <td>69453</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.248760</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69427</td>\n",
+ " <td>69442</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.349737</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69406</td>\n",
+ " <td>69427</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.458919</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69424</td>\n",
+ " <td>69406</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.559456</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69418</td>\n",
+ " <td>69424</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.658977</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>69417</td>\n",
+ " <td>69418</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "<p>67 rows \u00d7 7 columns</p>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 4,
+ "text": [
+ " __comm __cpu __pid id temp temp_prev thermal_zone\n",
+ "Time \n",
+ "0.000000 kworker/4:1 4 51 0 68786 68794 soc_thermal\n",
+ "0.099539 kworker/4:1 4 51 0 68781 68786 soc_thermal\n",
+ "0.199957 kworker/4:1 4 51 0 68782 68781 soc_thermal\n",
+ "0.299112 kworker/4:1 4 51 0 68835 68782 soc_thermal\n",
+ "0.399646 kworker/4:1 4 51 0 68882 68835 soc_thermal\n",
+ "0.499651 kworker/4:1 4 51 0 68907 68882 soc_thermal\n",
+ "0.609645 kworker/4:1 4 51 0 68943 68907 soc_thermal\n",
+ "0.708361 kworker/4:1 4 51 0 68959 68943 soc_thermal\n",
+ "0.810043 kworker/4:1 4 51 0 68947 68959 soc_thermal\n",
+ "0.909460 kworker/4:1 4 51 0 68950 68947 soc_thermal\n",
+ "1.008723 kworker/4:1 4 51 0 68952 68950 soc_thermal\n",
+ "1.108719 kworker/4:1 4 51 0 68965 68952 soc_thermal\n",
+ "1.209270 kworker/4:1 4 51 0 68978 68965 soc_thermal\n",
+ "1.309819 kworker/4:1 4 51 0 68989 68978 soc_thermal\n",
+ "1.408818 kworker/4:1 4 51 0 69007 68989 soc_thermal\n",
+ "1.509182 kworker/4:1 4 51 0 69008 69007 soc_thermal\n",
+ "1.619889 kworker/4:1 4 51 0 68996 69008 soc_thermal\n",
+ "1.718734 kworker/4:1 4 51 0 68990 68996 soc_thermal\n",
+ "1.819079 kworker/4:1 4 51 0 69007 68990 soc_thermal\n",
+ "1.918692 kworker/4:1 4 51 0 69026 69007 soc_thermal\n",
+ "2.018747 kworker/4:1 4 51 0 69074 69026 soc_thermal\n",
+ "2.118722 kworker/4:1 4 51 0 69113 69074 soc_thermal\n",
+ "2.219281 kworker/4:1 4 51 0 69131 69113 soc_thermal\n",
+ "2.319764 kworker/4:1 4 51 0 69161 69131 soc_thermal\n",
+ "2.419244 kworker/4:1 4 51 0 69155 69161 soc_thermal\n",
+ "2.519402 kworker/4:1 4 51 0 69203 69155 soc_thermal\n",
+ "2.618870 kworker/4:1 4 51 0 69229 69203 soc_thermal\n",
+ "2.718664 kworker/4:1 4 51 0 69269 69229 soc_thermal\n",
+ "2.818393 kworker/4:1 4 51 0 69294 69269 soc_thermal\n",
+ "2.919531 kworker/4:1 4 51 0 69313 69294 soc_thermal\n",
+ "... ... ... ... .. ... ... ...\n",
+ "3.719973 kworker/4:1 4 51 0 69498 69480 soc_thermal\n",
+ "3.818846 kworker/4:1 4 51 0 69513 69498 soc_thermal\n",
+ "3.919578 kworker/4:1 4 51 0 69556 69513 soc_thermal\n",
+ "4.018333 kworker/4:1 4 51 0 69607 69556 soc_thermal\n",
+ "4.118291 kworker/4:1 4 51 0 69647 69607 soc_thermal\n",
+ "4.219773 kworker/4:1 4 51 0 69657 69647 soc_thermal\n",
+ "4.318951 kworker/4:1 4 51 0 69663 69657 soc_thermal\n",
+ "4.419577 kworker/4:1 4 51 0 69685 69663 soc_thermal\n",
+ "4.519842 kworker/4:1 4 51 0 69710 69685 soc_thermal\n",
+ "4.618309 kworker/4:1 4 51 0 69733 69710 soc_thermal\n",
+ "4.719834 kworker/4:1 4 51 0 69714 69733 soc_thermal\n",
+ "4.818769 kworker/4:1 4 51 0 69700 69714 soc_thermal\n",
+ "4.920188 kworker/4:1 4 51 0 69667 69700 soc_thermal\n",
+ "5.022114 kworker/4:1 4 51 0 69621 69667 soc_thermal\n",
+ "5.119599 kworker/4:1 4 51 0 69577 69621 soc_thermal\n",
+ "5.218946 kworker/4:1 4 51 0 69546 69577 soc_thermal\n",
+ "5.319060 kworker/4:1 4 51 0 69530 69546 soc_thermal\n",
+ "5.418773 kworker/4:1 4 51 0 69509 69530 soc_thermal\n",
+ "5.528891 kworker/4:1 4 51 0 69523 69509 soc_thermal\n",
+ "5.628737 kworker/4:1 4 51 0 69515 69523 soc_thermal\n",
+ "5.728319 kworker/4:1 4 51 0 69489 69515 soc_thermal\n",
+ "5.829462 kworker/4:1 4 51 0 69469 69489 soc_thermal\n",
+ "5.928346 kworker/4:1 4 51 0 69478 69469 soc_thermal\n",
+ "6.029079 kworker/4:1 4 51 0 69453 69478 soc_thermal\n",
+ "6.139673 kworker/4:1 4 51 0 69442 69453 soc_thermal\n",
+ "6.248760 kworker/4:1 4 51 0 69427 69442 soc_thermal\n",
+ "6.349737 kworker/4:1 4 51 0 69406 69427 soc_thermal\n",
+ "6.458919 kworker/4:1 4 51 0 69424 69406 soc_thermal\n",
+ "6.559456 kworker/4:1 4 51 0 69418 69424 soc_thermal\n",
+ "6.658977 kworker/4:1 4 51 0 69417 69418 soc_thermal\n",
+ "\n",
+ "[67 rows x 7 columns]"
+ ]
+ }
+ ],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "You can use pandas' infrastructure to filter data. For example, the `cpu_in_power` trace has information for both clusters"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace.cpu_in_power.data_frame.head()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr style=\"text-align: right;\">\n",
+ " <th></th>\n",
+ " <th>__comm</th>\n",
+ " <th>__cpu</th>\n",
+ " <th>__pid</th>\n",
+ " <th>cpus</th>\n",
+ " <th>dynamic_power</th>\n",
+ " <th>freq</th>\n",
+ " <th>load0</th>\n",
+ " <th>load1</th>\n",
+ " <th>load2</th>\n",
+ " <th>load3</th>\n",
+ " <th>static_power</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>Time</th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0.002264</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000006</td>\n",
+ " <td>250</td>\n",
+ " <td>1100000</td>\n",
+ " <td>24</td>\n",
+ " <td>19</td>\n",
+ " <td>0</td>\n",
+ " <td>0</td>\n",
+ " <td>178</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.002279</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>166</td>\n",
+ " <td>850000</td>\n",
+ " <td>36</td>\n",
+ " <td>49</td>\n",
+ " <td>48</td>\n",
+ " <td>7</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.100949</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000006</td>\n",
+ " <td>227</td>\n",
+ " <td>1100000</td>\n",
+ " <td>28</td>\n",
+ " <td>11</td>\n",
+ " <td>0</td>\n",
+ " <td>0</td>\n",
+ " <td>178</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.100970</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>178</td>\n",
+ " <td>850000</td>\n",
+ " <td>32</td>\n",
+ " <td>28</td>\n",
+ " <td>46</td>\n",
+ " <td>44</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.200914</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000006</td>\n",
+ " <td>256</td>\n",
+ " <td>1100000</td>\n",
+ " <td>20</td>\n",
+ " <td>24</td>\n",
+ " <td>0</td>\n",
+ " <td>0</td>\n",
+ " <td>178</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 5,
+ "text": [
+ " __comm __cpu __pid cpus dynamic_power \\\n",
+ "Time \n",
+ "0.002264 kworker/4:1 4 51 00000000,00000006 250 \n",
+ "0.002279 kworker/4:1 4 51 00000000,00000039 166 \n",
+ "0.100949 kworker/4:1 4 51 00000000,00000006 227 \n",
+ "0.100970 kworker/4:1 4 51 00000000,00000039 178 \n",
+ "0.200914 kworker/4:1 4 51 00000000,00000006 256 \n",
+ "\n",
+ " freq load0 load1 load2 load3 static_power \n",
+ "Time \n",
+ "0.002264 1100000 24 19 0 0 178 \n",
+ "0.002279 850000 36 49 48 7 131 \n",
+ "0.100949 1100000 28 11 0 0 178 \n",
+ "0.100970 850000 32 28 46 44 131 \n",
+ "0.200914 1100000 20 24 0 0 178 "
+ ]
+ }
+ ],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We can filter it to return only the data for cpus \"00000000,00000039\":"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace.cpu_in_power.data_frame[trace.cpu_in_power.data_frame.cpus == \"00000000,00000039\"]"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr style=\"text-align: right;\">\n",
+ " <th></th>\n",
+ " <th>__comm</th>\n",
+ " <th>__cpu</th>\n",
+ " <th>__pid</th>\n",
+ " <th>cpus</th>\n",
+ " <th>dynamic_power</th>\n",
+ " <th>freq</th>\n",
+ " <th>load0</th>\n",
+ " <th>load1</th>\n",
+ " <th>load2</th>\n",
+ " <th>load3</th>\n",
+ " <th>static_power</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>Time</th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0.002279</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>166</td>\n",
+ " <td>850000</td>\n",
+ " <td>36</td>\n",
+ " <td>49</td>\n",
+ " <td>48</td>\n",
+ " <td>7</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.100970</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>178</td>\n",
+ " <td>850000</td>\n",
+ " <td>32</td>\n",
+ " <td>28</td>\n",
+ " <td>46</td>\n",
+ " <td>44</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.200929</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>174</td>\n",
+ " <td>850000</td>\n",
+ " <td>28</td>\n",
+ " <td>44</td>\n",
+ " <td>42</td>\n",
+ " <td>33</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.300253</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>166</td>\n",
+ " <td>850000</td>\n",
+ " <td>52</td>\n",
+ " <td>31</td>\n",
+ " <td>49</td>\n",
+ " <td>8</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.401033</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>197</td>\n",
+ " <td>850000</td>\n",
+ " <td>38</td>\n",
+ " <td>39</td>\n",
+ " <td>34</td>\n",
+ " <td>55</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.500595</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>149</td>\n",
+ " <td>850000</td>\n",
+ " <td>46</td>\n",
+ " <td>48</td>\n",
+ " <td>4</td>\n",
+ " <td>28</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.610771</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>168</td>\n",
+ " <td>850000</td>\n",
+ " <td>42</td>\n",
+ " <td>64</td>\n",
+ " <td>20</td>\n",
+ " <td>16</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.709190</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>190</td>\n",
+ " <td>850000</td>\n",
+ " <td>80</td>\n",
+ " <td>41</td>\n",
+ " <td>23</td>\n",
+ " <td>16</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.811388</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>185</td>\n",
+ " <td>850000</td>\n",
+ " <td>56</td>\n",
+ " <td>60</td>\n",
+ " <td>10</td>\n",
+ " <td>30</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.910507</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>171</td>\n",
+ " <td>850000</td>\n",
+ " <td>29</td>\n",
+ " <td>25</td>\n",
+ " <td>43</td>\n",
+ " <td>47</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.009501</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>159</td>\n",
+ " <td>850000</td>\n",
+ " <td>33</td>\n",
+ " <td>29</td>\n",
+ " <td>52</td>\n",
+ " <td>20</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.109501</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>208</td>\n",
+ " <td>850000</td>\n",
+ " <td>38</td>\n",
+ " <td>36</td>\n",
+ " <td>93</td>\n",
+ " <td>8</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.210615</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>207</td>\n",
+ " <td>850000</td>\n",
+ " <td>38</td>\n",
+ " <td>35</td>\n",
+ " <td>27</td>\n",
+ " <td>74</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.311017</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>164</td>\n",
+ " <td>850000</td>\n",
+ " <td>22</td>\n",
+ " <td>24</td>\n",
+ " <td>68</td>\n",
+ " <td>24</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.409793</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>180</td>\n",
+ " <td>850000</td>\n",
+ " <td>48</td>\n",
+ " <td>30</td>\n",
+ " <td>7</td>\n",
+ " <td>67</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.510247</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>195</td>\n",
+ " <td>850000</td>\n",
+ " <td>92</td>\n",
+ " <td>44</td>\n",
+ " <td>11</td>\n",
+ " <td>17</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.621442</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>172</td>\n",
+ " <td>850000</td>\n",
+ " <td>25</td>\n",
+ " <td>25</td>\n",
+ " <td>63</td>\n",
+ " <td>32</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.719513</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>165</td>\n",
+ " <td>850000</td>\n",
+ " <td>69</td>\n",
+ " <td>45</td>\n",
+ " <td>18</td>\n",
+ " <td>7</td>\n",
+ " <td>131</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.820367</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>148</td>\n",
+ " <td>850000</td>\n",
+ " <td>41</td>\n",
+ " <td>37</td>\n",
+ " <td>40</td>\n",
+ " <td>7</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>1.919996</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>111</td>\n",
+ " <td>850000</td>\n",
+ " <td>42</td>\n",
+ " <td>39</td>\n",
+ " <td>6</td>\n",
+ " <td>7</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.020034</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>161</td>\n",
+ " <td>850000</td>\n",
+ " <td>44</td>\n",
+ " <td>39</td>\n",
+ " <td>8</td>\n",
+ " <td>45</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.119842</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>130</td>\n",
+ " <td>850000</td>\n",
+ " <td>31</td>\n",
+ " <td>26</td>\n",
+ " <td>6</td>\n",
+ " <td>47</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.220430</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>167</td>\n",
+ " <td>850000</td>\n",
+ " <td>61</td>\n",
+ " <td>57</td>\n",
+ " <td>17</td>\n",
+ " <td>6</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.321255</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>166</td>\n",
+ " <td>850000</td>\n",
+ " <td>40</td>\n",
+ " <td>24</td>\n",
+ " <td>57</td>\n",
+ " <td>19</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.420036</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>170</td>\n",
+ " <td>850000</td>\n",
+ " <td>57</td>\n",
+ " <td>38</td>\n",
+ " <td>38</td>\n",
+ " <td>10</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.520509</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>117</td>\n",
+ " <td>850000</td>\n",
+ " <td>40</td>\n",
+ " <td>36</td>\n",
+ " <td>17</td>\n",
+ " <td>6</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.620426</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>129</td>\n",
+ " <td>850000</td>\n",
+ " <td>56</td>\n",
+ " <td>25</td>\n",
+ " <td>22</td>\n",
+ " <td>6</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.719428</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>173</td>\n",
+ " <td>850000</td>\n",
+ " <td>44</td>\n",
+ " <td>29</td>\n",
+ " <td>66</td>\n",
+ " <td>7</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.819184</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>185</td>\n",
+ " <td>850000</td>\n",
+ " <td>42</td>\n",
+ " <td>40</td>\n",
+ " <td>62</td>\n",
+ " <td>12</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>2.921334</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>172</td>\n",
+ " <td>850000</td>\n",
+ " <td>26</td>\n",
+ " <td>23</td>\n",
+ " <td>30</td>\n",
+ " <td>66</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>...</th>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " <td>...</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3.721862</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>91</td>\n",
+ " <td>850000</td>\n",
+ " <td>33</td>\n",
+ " <td>29</td>\n",
+ " <td>9</td>\n",
+ " <td>6</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3.820099</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>142</td>\n",
+ " <td>850000</td>\n",
+ " <td>54</td>\n",
+ " <td>51</td>\n",
+ " <td>9</td>\n",
+ " <td>6</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>3.920987</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>122</td>\n",
+ " <td>850000</td>\n",
+ " <td>34</td>\n",
+ " <td>27</td>\n",
+ " <td>8</td>\n",
+ " <td>34</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.019102</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>154</td>\n",
+ " <td>850000</td>\n",
+ " <td>38</td>\n",
+ " <td>34</td>\n",
+ " <td>19</td>\n",
+ " <td>39</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.119037</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>185</td>\n",
+ " <td>850000</td>\n",
+ " <td>36</td>\n",
+ " <td>29</td>\n",
+ " <td>79</td>\n",
+ " <td>12</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.221545</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>119</td>\n",
+ " <td>850000</td>\n",
+ " <td>25</td>\n",
+ " <td>23</td>\n",
+ " <td>32</td>\n",
+ " <td>20</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.320729</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>186</td>\n",
+ " <td>850000</td>\n",
+ " <td>30</td>\n",
+ " <td>28</td>\n",
+ " <td>64</td>\n",
+ " <td>35</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.421109</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>168</td>\n",
+ " <td>850000</td>\n",
+ " <td>52</td>\n",
+ " <td>48</td>\n",
+ " <td>11</td>\n",
+ " <td>31</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.521129</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>171</td>\n",
+ " <td>850000</td>\n",
+ " <td>28</td>\n",
+ " <td>23</td>\n",
+ " <td>10</td>\n",
+ " <td>83</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.619289</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>179</td>\n",
+ " <td>850000</td>\n",
+ " <td>29</td>\n",
+ " <td>25</td>\n",
+ " <td>54</td>\n",
+ " <td>43</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.721126</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>224</td>\n",
+ " <td>850000</td>\n",
+ " <td>45</td>\n",
+ " <td>44</td>\n",
+ " <td>84</td>\n",
+ " <td>16</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.820703</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>166</td>\n",
+ " <td>850000</td>\n",
+ " <td>26</td>\n",
+ " <td>24</td>\n",
+ " <td>7</td>\n",
+ " <td>83</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>4.921670</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>163</td>\n",
+ " <td>850000</td>\n",
+ " <td>44</td>\n",
+ " <td>22</td>\n",
+ " <td>7</td>\n",
+ " <td>64</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.022998</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>216</td>\n",
+ " <td>850000</td>\n",
+ " <td>46</td>\n",
+ " <td>41</td>\n",
+ " <td>84</td>\n",
+ " <td>11</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.120968</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>174</td>\n",
+ " <td>850000</td>\n",
+ " <td>49</td>\n",
+ " <td>26</td>\n",
+ " <td>37</td>\n",
+ " <td>35</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.220168</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>152</td>\n",
+ " <td>850000</td>\n",
+ " <td>25</td>\n",
+ " <td>46</td>\n",
+ " <td>8</td>\n",
+ " <td>49</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.320038</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>167</td>\n",
+ " <td>850000</td>\n",
+ " <td>78</td>\n",
+ " <td>31</td>\n",
+ " <td>28</td>\n",
+ " <td>4</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.419996</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>190</td>\n",
+ " <td>850000</td>\n",
+ " <td>47</td>\n",
+ " <td>52</td>\n",
+ " <td>17</td>\n",
+ " <td>44</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.530364</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>141</td>\n",
+ " <td>850000</td>\n",
+ " <td>34</td>\n",
+ " <td>74</td>\n",
+ " <td>7</td>\n",
+ " <td>4</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.629523</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>177</td>\n",
+ " <td>850000</td>\n",
+ " <td>34</td>\n",
+ " <td>51</td>\n",
+ " <td>5</td>\n",
+ " <td>59</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.729082</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>199</td>\n",
+ " <td>850000</td>\n",
+ " <td>40</td>\n",
+ " <td>52</td>\n",
+ " <td>26</td>\n",
+ " <td>50</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.830771</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>155</td>\n",
+ " <td>850000</td>\n",
+ " <td>26</td>\n",
+ " <td>41</td>\n",
+ " <td>31</td>\n",
+ " <td>33</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>5.929531</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>184</td>\n",
+ " <td>850000</td>\n",
+ " <td>34</td>\n",
+ " <td>27</td>\n",
+ " <td>31</td>\n",
+ " <td>63</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.030359</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>199</td>\n",
+ " <td>850000</td>\n",
+ " <td>61</td>\n",
+ " <td>40</td>\n",
+ " <td>40</td>\n",
+ " <td>27</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.141069</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>151</td>\n",
+ " <td>850000</td>\n",
+ " <td>43</td>\n",
+ " <td>22</td>\n",
+ " <td>38</td>\n",
+ " <td>24</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.251660</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>201</td>\n",
+ " <td>850000</td>\n",
+ " <td>40</td>\n",
+ " <td>36</td>\n",
+ " <td>55</td>\n",
+ " <td>38</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.351220</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>160</td>\n",
+ " <td>850000</td>\n",
+ " <td>34</td>\n",
+ " <td>89</td>\n",
+ " <td>6</td>\n",
+ " <td>6</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.460227</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>170</td>\n",
+ " <td>850000</td>\n",
+ " <td>48</td>\n",
+ " <td>49</td>\n",
+ " <td>20</td>\n",
+ " <td>26</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.560918</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>180</td>\n",
+ " <td>850000</td>\n",
+ " <td>64</td>\n",
+ " <td>37</td>\n",
+ " <td>42</td>\n",
+ " <td>9</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>6.659959</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>00000000,00000039</td>\n",
+ " <td>164</td>\n",
+ " <td>850000</td>\n",
+ " <td>26</td>\n",
+ " <td>57</td>\n",
+ " <td>20</td>\n",
+ " <td>35</td>\n",
+ " <td>137</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "<p>67 rows \u00d7 11 columns</p>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 6,
+ "text": [
+ " __comm __cpu __pid cpus dynamic_power freq \\\n",
+ "Time \n",
+ "0.002279 kworker/4:1 4 51 00000000,00000039 166 850000 \n",
+ "0.100970 kworker/4:1 4 51 00000000,00000039 178 850000 \n",
+ "0.200929 kworker/4:1 4 51 00000000,00000039 174 850000 \n",
+ "0.300253 kworker/4:1 4 51 00000000,00000039 166 850000 \n",
+ "0.401033 kworker/4:1 4 51 00000000,00000039 197 850000 \n",
+ "0.500595 kworker/4:1 4 51 00000000,00000039 149 850000 \n",
+ "0.610771 kworker/4:1 4 51 00000000,00000039 168 850000 \n",
+ "0.709190 kworker/4:1 4 51 00000000,00000039 190 850000 \n",
+ "0.811388 kworker/4:1 4 51 00000000,00000039 185 850000 \n",
+ "0.910507 kworker/4:1 4 51 00000000,00000039 171 850000 \n",
+ "1.009501 kworker/4:1 4 51 00000000,00000039 159 850000 \n",
+ "1.109501 kworker/4:1 4 51 00000000,00000039 208 850000 \n",
+ "1.210615 kworker/4:1 4 51 00000000,00000039 207 850000 \n",
+ "1.311017 kworker/4:1 4 51 00000000,00000039 164 850000 \n",
+ "1.409793 kworker/4:1 4 51 00000000,00000039 180 850000 \n",
+ "1.510247 kworker/4:1 4 51 00000000,00000039 195 850000 \n",
+ "1.621442 kworker/4:1 4 51 00000000,00000039 172 850000 \n",
+ "1.719513 kworker/4:1 4 51 00000000,00000039 165 850000 \n",
+ "1.820367 kworker/4:1 4 51 00000000,00000039 148 850000 \n",
+ "1.919996 kworker/4:1 4 51 00000000,00000039 111 850000 \n",
+ "2.020034 kworker/4:1 4 51 00000000,00000039 161 850000 \n",
+ "2.119842 kworker/4:1 4 51 00000000,00000039 130 850000 \n",
+ "2.220430 kworker/4:1 4 51 00000000,00000039 167 850000 \n",
+ "2.321255 kworker/4:1 4 51 00000000,00000039 166 850000 \n",
+ "2.420036 kworker/4:1 4 51 00000000,00000039 170 850000 \n",
+ "2.520509 kworker/4:1 4 51 00000000,00000039 117 850000 \n",
+ "2.620426 kworker/4:1 4 51 00000000,00000039 129 850000 \n",
+ "2.719428 kworker/4:1 4 51 00000000,00000039 173 850000 \n",
+ "2.819184 kworker/4:1 4 51 00000000,00000039 185 850000 \n",
+ "2.921334 kworker/4:1 4 51 00000000,00000039 172 850000 \n",
+ "... ... ... ... ... ... ... \n",
+ "3.721862 kworker/4:1 4 51 00000000,00000039 91 850000 \n",
+ "3.820099 kworker/4:1 4 51 00000000,00000039 142 850000 \n",
+ "3.920987 kworker/4:1 4 51 00000000,00000039 122 850000 \n",
+ "4.019102 kworker/4:1 4 51 00000000,00000039 154 850000 \n",
+ "4.119037 kworker/4:1 4 51 00000000,00000039 185 850000 \n",
+ "4.221545 kworker/4:1 4 51 00000000,00000039 119 850000 \n",
+ "4.320729 kworker/4:1 4 51 00000000,00000039 186 850000 \n",
+ "4.421109 kworker/4:1 4 51 00000000,00000039 168 850000 \n",
+ "4.521129 kworker/4:1 4 51 00000000,00000039 171 850000 \n",
+ "4.619289 kworker/4:1 4 51 00000000,00000039 179 850000 \n",
+ "4.721126 kworker/4:1 4 51 00000000,00000039 224 850000 \n",
+ "4.820703 kworker/4:1 4 51 00000000,00000039 166 850000 \n",
+ "4.921670 kworker/4:1 4 51 00000000,00000039 163 850000 \n",
+ "5.022998 kworker/4:1 4 51 00000000,00000039 216 850000 \n",
+ "5.120968 kworker/4:1 4 51 00000000,00000039 174 850000 \n",
+ "5.220168 kworker/4:1 4 51 00000000,00000039 152 850000 \n",
+ "5.320038 kworker/4:1 4 51 00000000,00000039 167 850000 \n",
+ "5.419996 kworker/4:1 4 51 00000000,00000039 190 850000 \n",
+ "5.530364 kworker/4:1 4 51 00000000,00000039 141 850000 \n",
+ "5.629523 kworker/4:1 4 51 00000000,00000039 177 850000 \n",
+ "5.729082 kworker/4:1 4 51 00000000,00000039 199 850000 \n",
+ "5.830771 kworker/4:1 4 51 00000000,00000039 155 850000 \n",
+ "5.929531 kworker/4:1 4 51 00000000,00000039 184 850000 \n",
+ "6.030359 kworker/4:1 4 51 00000000,00000039 199 850000 \n",
+ "6.141069 kworker/4:1 4 51 00000000,00000039 151 850000 \n",
+ "6.251660 kworker/4:1 4 51 00000000,00000039 201 850000 \n",
+ "6.351220 kworker/4:1 4 51 00000000,00000039 160 850000 \n",
+ "6.460227 kworker/4:1 4 51 00000000,00000039 170 850000 \n",
+ "6.560918 kworker/4:1 4 51 00000000,00000039 180 850000 \n",
+ "6.659959 kworker/4:1 4 51 00000000,00000039 164 850000 \n",
+ "\n",
+ " load0 load1 load2 load3 static_power \n",
+ "Time \n",
+ "0.002279 36 49 48 7 131 \n",
+ "0.100970 32 28 46 44 131 \n",
+ "0.200929 28 44 42 33 131 \n",
+ "0.300253 52 31 49 8 131 \n",
+ "0.401033 38 39 34 55 131 \n",
+ "0.500595 46 48 4 28 131 \n",
+ "0.610771 42 64 20 16 131 \n",
+ "0.709190 80 41 23 16 131 \n",
+ "0.811388 56 60 10 30 131 \n",
+ "0.910507 29 25 43 47 131 \n",
+ "1.009501 33 29 52 20 131 \n",
+ "1.109501 38 36 93 8 131 \n",
+ "1.210615 38 35 27 74 131 \n",
+ "1.311017 22 24 68 24 131 \n",
+ "1.409793 48 30 7 67 137 \n",
+ "1.510247 92 44 11 17 137 \n",
+ "1.621442 25 25 63 32 131 \n",
+ "1.719513 69 45 18 7 131 \n",
+ "1.820367 41 37 40 7 137 \n",
+ "1.919996 42 39 6 7 137 \n",
+ "2.020034 44 39 8 45 137 \n",
+ "2.119842 31 26 6 47 137 \n",
+ "2.220430 61 57 17 6 137 \n",
+ "2.321255 40 24 57 19 137 \n",
+ "2.420036 57 38 38 10 137 \n",
+ "2.520509 40 36 17 6 137 \n",
+ "2.620426 56 25 22 6 137 \n",
+ "2.719428 44 29 66 7 137 \n",
+ "2.819184 42 40 62 12 137 \n",
+ "2.921334 26 23 30 66 137 \n",
+ "... ... ... ... ... ... \n",
+ "3.721862 33 29 9 6 137 \n",
+ "3.820099 54 51 9 6 137 \n",
+ "3.920987 34 27 8 34 137 \n",
+ "4.019102 38 34 19 39 137 \n",
+ "4.119037 36 29 79 12 137 \n",
+ "4.221545 25 23 32 20 137 \n",
+ "4.320729 30 28 64 35 137 \n",
+ "4.421109 52 48 11 31 137 \n",
+ "4.521129 28 23 10 83 137 \n",
+ "4.619289 29 25 54 43 137 \n",
+ "4.721126 45 44 84 16 137 \n",
+ "4.820703 26 24 7 83 137 \n",
+ "4.921670 44 22 7 64 137 \n",
+ "5.022998 46 41 84 11 137 \n",
+ "5.120968 49 26 37 35 137 \n",
+ "5.220168 25 46 8 49 137 \n",
+ "5.320038 78 31 28 4 137 \n",
+ "5.419996 47 52 17 44 137 \n",
+ "5.530364 34 74 7 4 137 \n",
+ "5.629523 34 51 5 59 137 \n",
+ "5.729082 40 52 26 50 137 \n",
+ "5.830771 26 41 31 33 137 \n",
+ "5.929531 34 27 31 63 137 \n",
+ "6.030359 61 40 40 27 137 \n",
+ "6.141069 43 22 38 24 137 \n",
+ "6.251660 40 36 55 38 137 \n",
+ "6.351220 34 89 6 6 137 \n",
+ "6.460227 48 49 20 26 137 \n",
+ "6.560918 64 37 42 9 137 \n",
+ "6.659959 26 57 20 35 137 \n",
+ "\n",
+ "[67 rows x 11 columns]"
+ ]
+ }
+ ],
+ "prompt_number": 6
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Custom trace events"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "The `FTrace()` object by default parses a number of thermal and scheduler classes that are known to trappy. If you know which events you are interested in, you can create a `FTrace()` object that only parses those. For example, if you have collected your trace with\n",
+ "\n",
+ " trace-cmd -e thermal_temperature cdev_update\n",
+ " \n",
+ "you can get a custom FTrace object that only parses those:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace_custom = trappy.FTrace(\"./trace.txt\", scope=\"custom\", events=[\"thermal_temperature\", \"cdev_update\"])"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 7
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace_custom.thermal_temperature.data_frame.head()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr style=\"text-align: right;\">\n",
+ " <th></th>\n",
+ " <th>__comm</th>\n",
+ " <th>__cpu</th>\n",
+ " <th>__pid</th>\n",
+ " <th>id</th>\n",
+ " <th>temp</th>\n",
+ " <th>temp_prev</th>\n",
+ " <th>thermal_zone</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>Time</th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0.000000</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68786</td>\n",
+ " <td>68794</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.099539</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68781</td>\n",
+ " <td>68786</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.199957</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68782</td>\n",
+ " <td>68781</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.299112</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68835</td>\n",
+ " <td>68782</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.399646</th>\n",
+ " <td>kworker/4:1</td>\n",
+ " <td>4</td>\n",
+ " <td>51</td>\n",
+ " <td>0</td>\n",
+ " <td>68882</td>\n",
+ " <td>68835</td>\n",
+ " <td>soc_thermal</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 8,
+ "text": [
+ " __comm __cpu __pid id temp temp_prev thermal_zone\n",
+ "Time \n",
+ "0.000000 kworker/4:1 4 51 0 68786 68794 soc_thermal\n",
+ "0.099539 kworker/4:1 4 51 0 68781 68786 soc_thermal\n",
+ "0.199957 kworker/4:1 4 51 0 68782 68781 soc_thermal\n",
+ "0.299112 kworker/4:1 4 51 0 68835 68782 soc_thermal\n",
+ "0.399646 kworker/4:1 4 51 0 68882 68835 soc_thermal"
+ ]
+ }
+ ],
+ "prompt_number": 8
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Writing to CSV"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "You can use the `.write_csv()` method of each tracepoint class to output the trace to CSV for analyzing with other programs. For example, let's write the `trace_thermal_power_allocator` tracepoint information (available in the `.thermal_governor` property of the `FTrace` instance) to file `thermal_power_allocator.csv`"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace.thermal_governor.write_csv(\"thermal_power_allocator.csv\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 9
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/InteractivePlotter.ipynb b/doc/InteractivePlotter.ipynb
new file mode 100644
index 0000000..5ae8cc6
--- /dev/null
+++ b/doc/InteractivePlotter.ipynb
@@ -0,0 +1,609 @@
+{
+ "metadata": {
+ "kernelspec": {
+ "display_name": "Python 2",
+ "language": "python",
+ "name": "python2"
+ },
+ "language_info": {
+ "codemirror_mode": {
+ "name": "ipython",
+ "version": 2
+ },
+ "file_extension": ".py",
+ "mimetype": "text/x-python",
+ "name": "python",
+ "nbconvert_exporter": "python",
+ "pygments_lexer": "ipython2",
+ "version": "2.7.6"
+ },
+ "name": ""
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "TRAPpy: Interactive Plotting"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "<span style=\"font-size: 150%\">Re Run the cells to generate the graphs</span>"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import sys,os\n",
+ "sys.path.append(\"..\")\n",
+ "import numpy.random\n",
+ "import pandas as pd\n",
+ "import shutil\n",
+ "import tempfile\n",
+ "import trappy\n",
+ "trace_thermal = \"./trace.txt\"\n",
+ "trace_sched = \"../tests/raw_trace.dat\"\n",
+ "TEMP_BASE = \"/tmp\""
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "code",
+ "collapsed": true,
+ "input": [
+ "def setup_thermal():\n",
+ " tDir = tempfile.mkdtemp(dir=\"/tmp\", prefix=\"trappy_doc\", suffix = \".tempDir\")\n",
+ " shutil.copyfile(trace_thermal, os.path.join(tDir, \"trace.txt\"))\n",
+ " return tDir\n",
+ "\n",
+ "def setup_sched():\n",
+ " tDir = tempfile.mkdtemp(dir=\"/tmp\", prefix=\"trappy_doc\", suffix = \".tempDir\")\n",
+ " shutil.copyfile(trace_sched, os.path.join(tDir, \"trace.dat\"))\n",
+ " return tDir"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "code",
+ "collapsed": true,
+ "input": [
+ "temp_thermal_location = setup_thermal()\n",
+ "trace1 = trappy.FTrace(temp_thermal_location)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Interactive Line Plotting of Data Frames"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Interactive Line Plots Supports the same API as the LinePlot but provide an interactive plot that can be zoomed by clicking and dragging on the desired area. Double clicking resets the zoom."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We can create an interactive plot easily from a dataframe by passing the data frame and the columns we want to plot as parameters:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "columns = [\"tick\", \"tock\"]\n",
+ "df = pd.DataFrame(numpy.random.randn(1000, 2), columns=columns).cumsum()\n",
+ "\n",
+ "trappy.ILinePlot(df, column=columns).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Plotting independent series"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "It is also possible to plot traces with different index values (i.e. x-axis values)"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "columns = [\"tick\", \"tock\", \"bang\"]\n",
+ "df_len = 1000\n",
+ "df1 = pd.DataFrame(numpy.random.randn(df_len, 3), columns=columns, index=range(df_len)).cumsum()\n",
+ "df2 = pd.DataFrame(numpy.random.randn(df_len, 3), columns=columns, index=(numpy.arange(0.5, df_len, 1))).cumsum()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.ILinePlot([df1, df2], column=\"tick\").view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "This does not affect filtering or pivoting in any way"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "df1[\"bang\"] = df1[\"bang\"].apply(lambda x: numpy.random.randint(0, 4))\n",
+ "df2[\"bang\"] = df2[\"bang\"].apply(lambda x: numpy.random.randint(0, 4))"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.ILinePlot([df1, df2], column=\"tick\", filters = {'bang' : [2]}, title=\"tick column values for which bang is 2\").view()"
+ ],
+ "language": "python",
+ "metadata": {
+ "scrolled": true
+ },
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "code",
+ "collapsed": true,
+ "input": [
+ "trappy.ILinePlot([df1, df2], column=\"tick\", pivot=\"bang\", title=\"tick column pivoted on bang column\").view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Interactive Line Plotting of Traces"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We can also create them from trace objects"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "map_label = {\n",
+ " \"00000000,00000006\" : \"A57\",\n",
+ " \"00000000,00000039\" : \"A53\",\n",
+ "}\n",
+ "\n",
+ "l = trappy.ILinePlot(\n",
+ " trace1, # TRAPpy FTrace Object\n",
+ " trappy.cpu_power.CpuInPower, # TRAPpy Event (maps to a unique word in the Trace)\n",
+ " column=[ # Column(s)\n",
+ " \"dynamic_power\",\n",
+ " \"load1\"],\n",
+ "\n",
+ " filters={ # Filter the data \n",
+ " \"cdev_state\": [\n",
+ " 1,\n",
+ " 0]},\n",
+ " pivot=\"cpus\", # One plot for each pivot will be created\n",
+ " map_label=map_label, # Optionally, provide an alternative label for pivots\n",
+ " per_line=1) # Number of graphs per line\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "You can also change the drawstyle to \"steps-post\" for step plots. These are suited if the data is discrete \n",
+ "and linear interploation is not required between two data points"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.ILinePlot(\n",
+ " trace1, # TRAPpy FTrace Object\n",
+ " trappy.cpu_power.CpuInPower, # TRAPpy Event (maps to a unique word in the Trace)\n",
+ " column=[ # Column(s)\n",
+ " \"dynamic_power\",\n",
+ " \"load1\"],\n",
+ "\n",
+ " filters={ # Filter the data \n",
+ " \"cdev_state\": [\n",
+ " 1,\n",
+ " 0]},\n",
+ " pivot=\"cpus\", # One plot for each pivot will be created\n",
+ "\n",
+ " per_line=1, # Number of graphs per line\n",
+ " drawstyle=\"steps-post\") \n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": []
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Plots for a given time range"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Performance can suffer if ILinePlot tries to make a huge plot. One way of fixing it is by limiting the period of time plotted using the `xlim` parameter:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.ILinePlot(\n",
+ " trace1,\n",
+ " signals=[\"thermal:temp\"],\n",
+ " xlim=(1, 4), # Only between seconds 1 and 4\n",
+ ").view()"
+ ],
+ "language": "python",
+ "metadata": {
+ "run_control": {
+ "marked": true
+ }
+ },
+ "outputs": []
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Synchronized zoom in multiple plots"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "ILinePlots can zoom all at the same time. You can do so using the `group` and `sync_zoom` parameter. All ILinePlots using the same group name zoom at the same time. Note the use of signals with colors."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.ILinePlot(\n",
+ " trace1,\n",
+ " signals=[\"cpu_in_power:dynamic_power:18,140,171\", \"cpu_in_power:load1:0xcf,0x36,0x4a\"],\n",
+ " pivot=\"cpus\",\n",
+ " group=\"synchronized\",\n",
+ " sync_zoom=True\n",
+ ").view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Styling ILinePlots"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Use `fill=True` to colour-fill the space under the line. `fill_alpha` optionally sets the opacity."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.ILinePlot(\n",
+ " trace1,\n",
+ " signals=[\"thermal:temp\"],\n",
+ " fill=True,\n",
+ " fill_alpha=0.5\n",
+ ").view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "EventPlot"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "TRAPpy's Interactive Plotter features an Interactive Event TimeLine Plot. It accepts an input data of the type\n",
+ "<pre>\n",
+ "<code>\n",
+ " { \"A\" : [\n",
+ " [event_start, event_end, lane],\n",
+ " .\n",
+ " .\n",
+ " [event_start, event_end, lane],\n",
+ " ],\n",
+ " .\n",
+ " .\n",
+ " .\n",
+ "\n",
+ " \"B\" : [\n",
+ " [event_start, event_end, lane],\n",
+ " .\n",
+ " .\n",
+ " [event_start, event_end, lane],\n",
+ " .\n",
+ " .\n",
+ " .\n",
+ " }\n",
+ " \n",
+ "</code>\n",
+ "</pre>\n",
+ "\n",
+ "Hovering on the rectangles gives the name of the process element and scrolling on the Plot Area and the window in the summary controls the zoom. One can also click and drag for panning a zoomed graph.\n",
+ "\n",
+ "For Example:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "A = [\n",
+ " \n",
+ " [0, 3, 0],\n",
+ " [4, 5, 2],\n",
+ "]\n",
+ "\n",
+ "B = [\n",
+ " [0, 2, 1],\n",
+ " [2, 3, 3],\n",
+ " [3, 4, 0],\n",
+ "]\n",
+ "\n",
+ "C = [\n",
+ " [0, 2, 3],\n",
+ " [2, 3, 2],\n",
+ " [3, 4, 1],\n",
+ "]\n",
+ "\n",
+ "EVENTS = {}\n",
+ "EVENTS[\"A\"] = A\n",
+ "EVENTS[\"B\"] = B\n",
+ "EVENTS[\"C\"] = C\n",
+ "\n",
+ "trappy.EventPlot(EVENTS,\n",
+ " keys=EVENTS.keys, # Name of the Process Element\n",
+ " lane_prefix=\"LANE: \", # Name of Each TimeLine\n",
+ " num_lanes=4, # Number of Timelines\n",
+ " domain=[0,5] # Time Domain\n",
+ " ).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Lane names can also be specified as strings (or hashable objects that have an str representation) as follows"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "A = [\n",
+ " \n",
+ " [0, 3, \"zero\"],\n",
+ " [4, 5, \"two\"],\n",
+ "]\n",
+ "\n",
+ "B = [\n",
+ " [0, 2, 1],\n",
+ " [2, 3, \"three\"],\n",
+ " [3, 4, \"zero\"],\n",
+ "]\n",
+ "\n",
+ "C = [\n",
+ " [0, 2, \"three\"],\n",
+ " [2, 3, \"two\"],\n",
+ " [3, 4, 1],\n",
+ "]\n",
+ "\n",
+ "EVENTS = {}\n",
+ "EVENTS[\"A\"] = A\n",
+ "EVENTS[\"B\"] = B\n",
+ "EVENTS[\"C\"] = C\n",
+ "\n",
+ "trappy.EventPlot(EVENTS,\n",
+ " keys=EVENTS.keys, # Name of the Process Element\n",
+ " lanes=[\"zero\", 1, \"two\", \"three\"],\n",
+ " domain=[0,5] # Time Domain\n",
+ " ).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "It is also possible to define a colour map to associate a specific colour to each event. A colour string can be:\n",
+ "\n",
+ " - a colour name, `green`, `red`, `blue`, etc.\n",
+ " \n",
+ " - the HEX representation of the colour, `#0000FF` for blue, `#FF0000` for red\n",
+ " "
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "# Using colour names\n",
+ "trappy.EventPlot(EVENTS,\n",
+ " keys=EVENTS.keys, # Name of the Process Element\n",
+ " lanes=[\"zero\", 1, \"two\", \"three\"],\n",
+ " domain=[0,5], # Time Domain\n",
+ " color_map={\"A\" : \"blue\", \"B\" : \"red\", \"C\" : \"green\"}\n",
+ " ).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "# Using HEX representation of colours\n",
+ "trappy.EventPlot(EVENTS,\n",
+ " keys=EVENTS.keys, # Name of the Process Element\n",
+ " lanes=[\"zero\", 1, \"two\", \"three\"],\n",
+ " domain=[0,5], # Time Domain\n",
+ " color_map={\"A\" : \"\t#ffa07a\", \"B\" : \"#f08080\", \"C\" : \"#add8e6\"}\n",
+ " ).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "TracePlot"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "A specification of the EventPlot creates a kernelshark like plot if the sched_switch event is enabled in the traces"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "f = setup_sched()\n",
+ "trappy.plotter.plot_trace(f)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": null
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Exporting notebooks with interactive plots to HTML"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Notebooks with ILinePlot or EventPlot can't be exported to HTML using File->Download as->HTML. They need to be converted from the command line:\n",
+ "```\n",
+ "jupyter nbconvert --to=trappy.nbexport.HTML notebook.ipynb\n",
+ "```\n",
+ "You need nbconvert >= 4.2 and trappy has to be in your `PYTHONPATH`."
+ ]
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+}
diff --git a/doc/Plotter.ipynb b/doc/Plotter.ipynb
new file mode 100644
index 0000000..c06f631
--- /dev/null
+++ b/doc/Plotter.ipynb
@@ -0,0 +1,604 @@
+{
+ "metadata": {
+ "kernelspec": {
+ "display_name": "Python 2",
+ "language": "python",
+ "name": "python2"
+ },
+ "language_info": {
+ "codemirror_mode": {
+ "name": "ipython",
+ "version": 2
+ },
+ "file_extension": ".py",
+ "mimetype": "text/x-python",
+ "name": "python",
+ "nbconvert_exporter": "python",
+ "pygments_lexer": "ipython2",
+ "version": "2.7.6"
+ },
+ "name": "",
+ "toc": {
+ "toc_cell": false,
+ "toc_number_sections": true,
+ "toc_threshold": 6,
+ "toc_window_display": false
+ }
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import sys,os\n",
+ "import tempfile\n",
+ "import shutil\n",
+ "sys.path.append(\"..\")\n",
+ "%matplotlib inline\n",
+ "import trappy\n",
+ "trace_thermal = \"./trace.txt\"\n",
+ "trace_sched = \"../tests/trace_sched.txt\"\n",
+ "TEMP_BASE = \"/tmp\""
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "def setup_thermal():\n",
+ " tDir = tempfile.mkdtemp(dir=\"/tmp\", prefix=\"trappy_doc\", suffix = \".tempDir\")\n",
+ " shutil.copyfile(trace_thermal, os.path.join(tDir, \"trace.txt\"))\n",
+ " return tDir\n",
+ "\n",
+ "def setup_sched():\n",
+ " tDir = tempfile.mkdtemp(dir=\"/tmp\", prefix=\"trappy_doc\", suffix = \".tempDir\")\n",
+ " shutil.copyfile(trace_sched, os.path.join(tDir, \"trace.txt\"))\n",
+ " return tDir"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "temp_thermal_location = setup_thermal()\n",
+ "trace1 = trappy.FTrace(temp_thermal_location)\n",
+ "trace2 = trappy.FTrace(temp_thermal_location)\n",
+ "trace2.thermal.data_frame[\"temp\"] = trace1.thermal.data_frame[\"temp\"] * 2\n",
+ "trace2.cpu_out_power.data_frame[\"power\"] = trace1.cpu_out_power.data_frame[\"power\"] * 2"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Usage of Signals"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "A signal is a string which represents a combination of a TRAPpy event and a column. The format of the signal is, \"event_name:column\". e.g, thermal:temp. \n",
+ "\n",
+ "The Plotter API can accept either a list of signals as an input or a combination of templates and columns. Here is an example on how to use signals:\n",
+ "\n"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot(trace1, signals=[\"thermal:temp\"])\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA+wAAAIZCAYAAAA88bWWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb40a3c7bd0>"
+ ]
+ }
+ ],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Use an additional parameter to specify the color of the line in rgb: \"event_name:column:color\". The color is specified as a comma separated of rgb values, from 0 to 255 or from 0x0 to 0xff. E.g. 0xff,0x0,0x0 is red and 100,40,32 is brown. Similar to the example above, to plot the temperature in purple and the previous temperature in green you can do:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.LinePlot(trace1, signals=[\"thermal:temp:118,95,151\", \"thermal:temp_prev:0,0xa9,0x60\"]).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA+kAAAIZCAYAAADa2H7SAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f1c2c12d9d0>"
+ ]
+ }
+ ],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Limiting axes to focus on a given region"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "It is possible to set the limits of the X and Y axes by means of the `xlim` and `ylim` parameters:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot(trace1, signals=[\"thermal:temp\"], xlim=(2, 3), ylim=(69000, 70000))\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA/AAAAIZCAYAAAABY9TwAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409ebbd90>"
+ ]
+ }
+ ],
+ "prompt_number": 6
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "No Pivot Simple"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot(trace1, trappy.thermal.Thermal, column=\"temp\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA+wAAAIZCAYAAAA88bWWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409dfcf90>"
+ ]
+ }
+ ],
+ "prompt_number": 7
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "No Pivot Multi Trace"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2], trappy.thermal.Thermal, column=\"temp\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA/IAAAIZCAYAAAAFlgTNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nKABgqv8D0Ro/jcAlSNQAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409d24250>"
+ ]
+ }
+ ],
+ "prompt_number": 8
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "No Pivot Mutiple Columns and Traces"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2], [trappy.thermal.Thermal,trappy.thermal.ThermalGovernor], column=[\"temp\", \"power_range\"])\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA+wAAAIZCAYAAAA88bWWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409d1d2d0>"
+ ]
+ }
+ ],
+ "prompt_number": 9
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "No Pivot With Filters"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2], [trappy.cpu_power.CpuOutPower], column=\"power\", filters={\"cdev_state\": [0]})\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA+UAAAIZCAYAAADA5J5cAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nmDAh08NQFCUL+P8DUz2Ws5NIpQAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409be3450>"
+ ]
+ }
+ ],
+ "prompt_number": 10
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Pivoted Data"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot(trace1, trappy.thermal.Thermal, column=\"temp\", pivot=\"thermal_zone\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA+wAAAIkCAYAAACEFaHlAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409b30f50>"
+ ]
+ }
+ ],
+ "prompt_number": 11
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Pivoted Multi Trace Data"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2], trappy.cpu_power.CpuOutPower, column=\"power\", pivot=\"cpus\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAz4AAAHjCAYAAADi9MoAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ndLoMkUrz/wFIVdi/Eu5POgAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb4099c0650>"
+ ]
+ }
+ ],
+ "prompt_number": 12
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Labelling Pivots"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "A dictionary at that maps pivot values into possibly useful labels can be provided"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "map_label = {\n",
+ " \"00000000,00000006\" : \"A57\",\n",
+ " \"00000000,00000039\" : \"A53\",\n",
+ "}\n",
+ " \n",
+ "l = trappy.LinePlot([trace1, trace2],\n",
+ " trappy.cpu_power.CpuOutPower,\n",
+ " column=\"power\",\n",
+ " pivot=\"cpus\",\n",
+ " map_label=map_label)\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAz4AAAHjCAYAAADi9MoAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nnS5DpML8fw1FeQJlXVCYAAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb40988ab10>"
+ ]
+ }
+ ],
+ "prompt_number": 13
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`xlim` can be used to limit the X axes to focus on a given range for both plots:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2],\n",
+ " trappy.cpu_power.CpuOutPower,\n",
+ " column=\"power\",\n",
+ " pivot=\"cpus\",\n",
+ " map_label=map_label,\n",
+ " xlim=(3.5,5.5))\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA0MAAAHjCAYAAADhQ8QoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3X18VOWd9/HPmTN5MDylCUQjkSIGpKhASnALukGMupXV\nCnWb6k2RsARFa8UUb1qKqFQiKg8hLpCKsVqLd3W7NaxttVJjIihYEzAqZq3N0tqCiUHGIChJZibn\n/gOYkvKQQHKRkznf9+vFy5yTSeY6X3OdyW/O71yxHMdxEBERERER8Rhfdw9ARERERESkO6gYEhER\nERERT1IxJCIiIiIinqRiSEREREREPEnFkIiIiIiIeJKKIRERERER8SQVQyIiIiIi4kkqhkRc4uOP\nPyYmJobU1FTC4fBRn58xYwY+n6/NP9u2aW1tjTzm3HPPPeoxPp+Piy666HQeioiIRKGueJ1at24d\nmZmZJCUlkZCQwIgRI1ixYsXpPAyRNvzdPQAROeinP/0p559/Ph999BHr16/n+uuvP+oxWVlZ/PKX\nv+TIv5Xs8/39PY2qqqo2L1D79u1j5MiR3HjjjWYHLyIiUa8rXqfOPPNM7rnnHs4//3zi4uLYtGkT\nt956K7ZtM2fOnNNyHCJH0pUhiVqrV6/mggsuID4+njPPPJNvfetbkc+de+653H333cyaNYt+/fox\nYMAAfvjDH7b5+nPPPZcHHnigzb5Zs2Zx+eWXR7Zfe+01Lr30Uvr27Uvfvn3JyMjg97///UmP1XEc\nHnvsMb773e8ybdo0Hn300WM+LjY2lgEDBpCSkhL5d6Tk5OQ2n3vllVcIhULMnDnzpMckIiJmefF1\n6sorr+Qb3/gG559/PoMHD2batGlcddVVbNy48aTHJNIVVAxJVLr33nuZP38+t99+O9u3b+f3v/89\nY8aMafOYVatWMXDgQKqqqli5ciWrVq1i5cqVHX6OcDjMddddx7hx46iuruatt97ivvvuIyEhIfKY\nyy67rM2L0vG89NJLfPLJJ3znO9/hlltu4ZVXXuEvf/nLUY978803SU1NZciQIfzbv/0bNTU1J/y+\na9eu5Rvf+AZnnnlmh49LRETM0+vU3x+/efNmrr766g4fl0hXUpucRJ0vvviCpUuXUlBQwK233hrZ\nP3LkyDaPGzVqFPfddx8AQ4cOpaamhhUrVnDnnXd26Hn27dtHY2Mj1157LUOGDAHgvPPOa/OYwYMH\nY1lWu99r7dq13HjjjfTp04cRI0ZwySWX8Nhjj1FQUBB5zNVXX83111/PkCFDqK+v56GHHmLs2LFU\nVlYyYsSIo75nVVUVW7duZcmSJR06HhEROT28/jr12WefMXDgQFpaWgiHw9x9993k5eV16JhEupqu\nDEnUee+992hububKK6884ePGjRvXZvuSSy5h165d7N+/v0PPk5iYyMyZM7nqqquYNGkSDz30EB98\n8EGbxzz55JM88cQTJ/w+9fX1/OY3v+Hmm2+O7Lvlllt44okn2tz/k5OTwzXXXMOIESO4/PLL+c1v\nfsPAgQN55JFHjvl9H330UYYMGcIVV1zRoeMREZHTw+uvU3369OHtt99m69atrFmzhpUrV1JYWNih\nYxLpaiqGRI7D5/O1uQEUIBgMttleu3Yt27Zt46qrruLVV1/lwgsv5LHHHjup5ykpKSEcDjNu3Dhi\nYmKIiYlh+vTpfPzxxzz//PPH/bqYmBjGjBlzzDaFffv28cwzz3DLLbec1FhERKTn6KmvU5ZlMWTI\nEC688EJuvvlmfvjDH/Lggw+e1JhEuoqKIYk6I0aMIC4ujg0bNpzwcW+88Uab7ddff52zzz6b3r17\nA5CSksJHH33U5jFvvfXWMZ/vzjvv5IUXXmDmzJmsXbu2w2N1HIfHH3+cBQsWUF1dzdtvvx35N3Xq\n1BN+r9bWVt555x3OOeecoz7385//nGAwSG5ubofHIiIip4dep9oKh8OEQqEOj0mkK+meIYk6vXr1\nYu7cudx3333Ex8dz5ZVX8sUXX/Diiy+2WYmnurqaH//4x9x4441UVlbyyCOP8OMf/zjy+SuuuILi\n4mImT57Ml7/8ZX7yk5/w4YcfkpycDMD//u//8thjj3HttddyzjnnsGvXLjZt2kRmZmbke9x0001Y\nlsXPfvazY471xRdf5G9/+xs333wzaWlpbT43Y8YMrrjiCv7617+SnJzMPffcw/XXX8/AgQP5+OOP\nWbp0KX/+8595+umnj/q+jz76KFOmTGHAgAGdylJERLqel1+n7rvvPv75n/+ZIUOGEAwGefXVV3n4\n4YeZNWtWl2QrctIckSj1yCOPOMOHD3fi4uKcs846y8nJyYl8bvDgwc7dd9/t/Pu//7vTt29fJzk5\n2fnBD37gtLa2Rh6zb98+56abbnKSkpKcM88801m0aJEza9YsZ+LEiY7jOE5dXZ3zzW9+0znnnHOc\n+Ph4Z+DAgc4tt9zifPbZZ5HvcdlllzmXX375ccd43XXXOZdccskxPxcOh53U1FTn7rvvdg4cOOB8\n/etfd1JTU524uDgnLS3Nue6665zq6uqjvu6NN95wfD6fU15efrKRiYjIaeTF16n8/Hxn6NChTkJC\ngpOUlORkZmY6xcXFbY5L5HSyHOcfmk3/wZ49e1i1ahV79+7Fsiyys7OZNGkStbW1PP7444TDYWzb\nJi8vL7JCSWlpKeXl5di2TW5uLqNGjQJgx44drFmzhmAwSEZGhlp4pNuce+65zJo1ix/96EfdPRQR\n6aTi4mK2bdtGv379WLZsGQDPPvssVVVVAPTt25fbbrst8m65XqOkJ9DrlMjp0e49Q7ZtM336dFas\nWEFBQQEbNmxg586dPP3009xwww08/PDD5OTksG7dOgB27tzJli1bKCwsZP78+ZSUlERu7ispKWH2\n7NkUFRVRV1dHdXW12aMTEZGoN3HiRBYsWNBm33XXXcfSpUtZunQpmZmZ/PKXvwT0GiUiIm21Wwwl\nJiYyePBgAOLj4zn77LP59NNPSUxM5PPPPwfg888/50tf+hJw8G+bjB8/Htu2SUlJITU1ldraWhob\nGzlw4ADp6ekAZGVlUVlZaeiwRE6sI39TQUR6huHDh9OrV682++Lj4yMfNzc306dPH0CvUdJz6HVK\n5PQ4qQUUGhoa+PDDDxk6dCipqaksXLiQn//85wDcf//9AAQCAYYNGxb5mqSkJAKBALZtR1oUAJKT\nkwkEAl1xDCInbceOHd09BBEx7JlnnuHVV18lLi6OBx54ANBrlPQcep0SOT06XAw1NTWxYsUKcnNz\niY+PZ+nSpcyYMYOLL76YN954g+LiYhYuXNilgysrK+vS7yciIqcmOzu7u4dw0m644QZuuOEG1q9f\nz5NPPsltt93W5c+h1ykREXc41depDhVD4XCY5cuXk5WVxdixYwGora2NFD9f+9rX+MlPfgIcfJft\nk08+iXztnj17SEpKIikpiT179hy1vz098QVYRCSa9PRf+C+99FKWLFkCdP1rFOh1SkSku3XmdapD\nf3S1uLiYtLQ0Jk2aFNl31llnUVNTA8C7775LamoqAJmZmWzevJlQKERDQwP19fWkp6eTmJhIQkIC\ntbW1OI7Dxo0bI4WVdI+KioruHkLUU8bmKWOBg38Y8sjFUevr6yMfV1ZWRu591WtUz6M5bpbyNU8Z\nu1u7V4bef/99Nm3axKBBg5g3bx6WZXHjjTdyyy23UFJSQigUIiYmhptvvhmAtLQ0xo0bR35+Pn6/\nn7y8vMhNgDNnzmT16tWRZUtHjx5t9uhERCTqFRUVUVNTw759+7j11lvJyclh27ZtfPTRR5GFEg7/\nQUe9RomIyJHa/TtD3amsrEztByIi3Uzn4uNTNiIi3a8z5+IOtcmJiIiIiIhEGxVDHqYeVvOUsXnK\nWCS6aY6bpXzNU8bupmJIREREREQ8ScWQh1122WXdPYSop4zNU8Yi0U1z3Czla54ydjcVQyIiIiIi\n4kkqhjxMPazmKWPzlLFIdNMcN0v5mqeM3U3FkIiIiIiIeJKKIQ9TD6t5ytg8ZSwS3TTHzVK+5ilj\nd1MxJCIiIiIinqRiyMPUw2qeMjZPGYtEN81xs5SvecrY3VQMiYiIiIiIJ6kY8jD1sJqnjM1TxiLR\nTXPcLOVrnjJ2NxVDIiIiIiLiSSqGPEw9rOYpY/OUsUh00xw3S/map4zdTcWQiIiIiIh4koohD1MP\nq3nK2DxlLBLdNMfNUr7mKWN3UzEkIiIiIiKepGLIw9TDap4yNk8Zi0Q3zXGzlK95ytjdVAyJiIiI\niIgnqRjyMPWwmqeMzVPGItFNc9ws5WueMnY3FUMiIiIiIuJJKoY8TD2s5ilj85SxSHTTHDdL+Zqn\njN1NxZCIiIiIiHiSiiEPUw+recrYPGUsEt00x81SvuYpY3dTMSQiIiIiIp6kYsjD1MNqnjI2TxmL\nRDfNcbOUr3nK2N1UDImIiIiIiCepGPIw9bCap4zNU8Yi0U1z3Czla54ydjcVQyIiIiIi4kkqhjxM\nPazmKWPzlLFIdNMcN0v5mqeM3c3f3QMQERGRv2vCwQfEYnX3UPgIh09xunUMf044gwG0dusYopny\nNU8Zu5uKIQ9TD6t5ytg8ZSzRpIpWvkkLZwA/I5avdVMDRwsOiwmxihCp3V2UXTwKaOneMUQz5Wue\nMjbukU58rYohERERF/gZIe4iyKPE4gCTaSYXP4vwE3caC5K3aWU6LaRhsZ14zu7uYkhEpB1lnfha\n3TPkYephNU8Zm6eMpacL4nAHLSwmRAVxfBOb67F5h3j+SCuZNLPtNLTYBHG4nyBX0Myd+Pk1sa4o\nhDTHzVK+5iljd9OVIRERkW7SgEMOLSQAlcSReETxkYLFc8TyNGG+TjPfxc+P8BNjoEDZfuhq0AAs\n3iKeNBcUQSIip0O7xdCePXtYtWoVe/fuxbIssrOzmTRpEgAvvvgiGzZswOfz8dWvfpWpU6cCUFpa\nSnl5ObZtk5uby6hRowDYsWMHa9asIRgMkpGRQW5urrkjk3bpXgvzlLF5ylh6qq2H7g/6DjY/xo99\njALEwuI7+JmITR4tfI1mniKWC7qosSOEw1JCrCDEEmKYiY3lskJIc9ws5WueMna3dosh27aZPn06\ngwcPpqmpiR/84AeMGjWKxsZGtm7dyrJly7Btm88++wyAnTt3smXLFgoLC9mzZw/3338/jzzyCJZl\nUVJSwuzZs0lPT2fJkiVUV1czevRo4wcpIiLiJk8RYi5BfkIs12O3+/iBWLxALCWEuYxm/i9+5h6n\ngOqoGlrJpYW+WFQRx5fVOS8iHtTumS8xMZHBgwcDEB8fz8CBAwkEAmzYsIHJkydj2wdP4n379gWg\nqqqK8ePHY9s2KSkppKamUltbS2NjIwcOHCA9PR2ArKwsKisrDR2WdIR6WM1TxuYpY+lJgjjcSQv3\nE6KcuA4VQodZWMzCTyVxvEgr/0wLfzqFe4nCOCwlSBbN/Dt+fk+sqwshzXGzlK95ytjdTurs19DQ\nwIcffsjQoUOpq6ujpqaGBQsWsGjRInbs2AFAIBCgf//+ka9JSkoiEAgQCARITk6O7E9OTiYQCHTR\nYYiIiLjbbhyuooU/4vAmcVx4igXIYHyUEcsN2Iyjmf8gRGsH/xbQH2nlUpp5gVYqiWM2fte1xYmI\nnE4dPhM3NTWxYsUKcnNziY+PJxwO8/nnn1NQUMDUqVNZsWKFkQEeWU1XVFRouwu3D+9zy3iicftI\nbhhPNG4f7sV2y3iidVs6ZxutjKWZcfj4DbF8qZMFiA+LO/CzmTj+HyGuoIW/nOAqURiHQkJcQjNT\n8VNGLOe6+GrQkXS/hVnK1zxl7G6W4zjtvp0UDod58MEHycjIiCyesGTJEq677jpGjBgBwB133EFB\nQQFlZQdX+p48eTIABQUF5OTkMGDAABYtWkRhYSEAr7/+OjU1NcyaNeu4z1tWVkZ2dnbnjlBERDrF\n7efi4uJitm3bRr9+/Vi2bBkA69atY+vWrfj9fs4880xuu+02EhISgK5d5Kcj2awjRD5B1hDLt06i\nLa6jwjgsJ8TS4yyCUEsrMwgC8AQxpPeQIkhEpKM68zrVoTNicXExaWlpkUIIYOzYsWzfvh2Ajz76\niFAoRJ8+fcjMzGTz5s2EQiEaGhqor68nPT2dxMREEhISqK2txXEcNm7cyNixY09p0NI19K6vecrY\nPGUsEydOZMGCBW32jRw5kuXLl7N06VJSU1NZv3490HaRn/nz51NSUsLh9wQPL/JTVFREXV0d1dXV\nnRpXCIfv08J9hHiFOCOFEICNxTxiKCeOYkL8Ky3swqEVh/8gxNdo5npsXiW2RxZCmuNmKV/zlLG7\ntbua3Pvvv8+mTZsYNGgQ8+bNw7IsbrzxRi677DKKi4uZO3cuMTEx3H777QCkpaUxbtw48vPz8fv9\n5OXlYVkH36GaOXMmq1evjrzrppXkRESks4YPH87u3bvb7Bs5cmTk46FDh/KHP/wBOP4iPwMGDDjm\nIj+n+jr1CQ7fpoUY4E3iSDoN9+VciI83iOMBQmTQxHlYWFhsJo5hPbAIEhE5HdothoYPH86zzz57\nzM9973vfO+b+KVOmMGXKlKP2DxkyhOXLl5/kEMUU9bCap4zNU8bSnvLyci655BLg4CI/w4YNi3zu\n8CI/tm2f8iI/PyHE7CNeTt869PeDbsBmcSeXvz5ZMVjcSwzXYvMOrUzDPq3Pb4LmuFnK1zxl7G56\nq0hERKLWc889h23bXHrppcae48HPP2M2LbTgcHfNu0xs2c9D+FlCDJsqXu2WhS++io9c/N32/NrW\ntra1fbq3T1WHFlDoLm6/abenq6io0LsVhilj85SxeT3hXLx7924eeuihyAIKcPBno6ysjHvuuYeY\nmBiAyL1DXbHIDxzMZmz25Uyjhe2HlrcuJZaReq+xy2iOm6V8zVPG5hlfQEFERMTNHMfhyPf2qqur\nef7555k3b16kEAKMLPLTF4tSYll06A+iqhASEek5dGVIREROyO3n4qKiImpqati3bx/9+vUjJyeH\n0tLSyCqncHARhby8PODg0tqvvPIKfr//qKW1j1zkZ8aMGe0+t9uzERHxgs6ci9tdQEFERMTN5syZ\nc9S+iRMnHvfxWuRHREQO07V8D+uKm87kxJSxecpYJLppjpulfM1Txu6mYkhERERERDxJxZCHaWUT\n85SxecpYJLppjpulfM1Txu6mYkhERERERDxJxZCHqYfVPGVsnjIWiW6a42YpX/OUsbupGBIRERER\nEU9SMeRh6mE1Txmbp4xFopvmuFnK1zxl7G4qhkRERERExJNUDHmYeljNU8bmKWOR6KY5bpbyNU8Z\nu5uKIRERERER8SQVQx6mHlbzlLF5ylgkummOm6V8zVPG7qZiSEREREREPEnFkIeph9U8ZWyeMhaJ\nbprjZilf85Sxu6kYEhERERERT1Ix5GHqYTVPGZunjEWim+a4WcrXPGXsbiqGRERERETEk1QMeZh6\nWM1TxuYpY5HopjlulvI1Txm7m4ohERERERHxJBVDHqYeVvOUsXnKWCS6aY6bpXzNU8bupmJIRERE\nREQ8ScWQh6mH1TxlbJ4yFolumuNmKV/zlLG79YhiaC8OLxMmhNPdQxERERERkSjh7+4BtOcbNPMq\nraRhcQbwJLFc2DNqONdTD6t5ytg8ZSwS3TTHzVK+5iljd3N9VZGDzV+JZztxzMbPRJopIEhQV4lE\nRERERKQTXF8MfQc//bCwsMjDz1bi2EQrX6OZd2nt7uH1aOphNU8Zm6eMRaKb5rhZytc8Zexuri+G\n/tEgfLxILLfh53KauV9XiURERERE5BT0uGIIwMJiJn62EcdmWvknmnlbV4lOmnpYzVPG5iljkeim\nOW6W8jVPGbtbjyyGDjsHHy8Qy/fwcwXN/FhXiUREREREpIN6dDEEB68SzcDPW8TzBq1cTDPVukrU\nIephNU8Zm6eMRaKb5rhZytc8Zexu7S6tvWfPHlatWsXevXuxLIvs7GwmTZoU+fyvf/1r1q1bx+OP\nP07v3r0BKC0tpby8HNu2yc3NZdSoUQDs2LGDNWvWEAwGycjIIDc3t8sOJA2L3xLLzwhzJc18Fz8/\nwk8sVpc9h4iIiIiIRI92rwzZts306dNZsWIFBQUFvPTSS+zatQs4WCi988479O/fP/L4nTt3smXL\nFgoLC5k/fz4lJSU4zsHWtZKSEmbPnk1RURF1dXVUV1d36cFYWOTip5p4Kg9dJXpLV4mOSz2s5ilj\n85SxSHTTHDdL+ZqnjN2t3WIoMTGRwYMHAxAfH8/AgQMJBAIA/OxnP2PatGltHl9VVcX48eOxbZuU\nlBRSU1Opra2lsbGRAwcOkJ6eDkBWVhaVlZVdfDgHDcTiN8Tyffz8C83cQ5CWU7yXqBWHD2nl94RZ\nRYg7aOFfaCaPFj7R/UkiIiIiIj3WSd0z1NDQwIcffsjQoUOpqqoiOTmZQYMGtXlMIBBoc6UoKSmJ\nQCBAIBAgOTk5sj85OTlSVJlgYXHToatEb9FKJs1sO8FVok9x+AOtPEWIuwnyLZoZRRO9aWI8zSwh\nxHZaORcfd+CnL3ARTTxDCKeHFkXqYTVPGZunjEWim+a4WcrXPGXsbu3eM3RYU1MTK1asIDc3F5/P\nR2lpKXfffbfJsQEHf4AOX148/MN0stvPXzaBdYTJbtnPNXUNXP/lc/mAVirqPmLnGfHUJ/blAJC6\n73PO+eIAWWeexTex2Ve1jbQDTUz656yjvv+/YpO+tZL5w4fwdK/eFBNLbcWrpzS+7to+3KbolvFE\n43Z1dbWrxhON24e5ZTzRui0iIhKNLOfwDT0nEA6HefDBB8nIyGDSpEn89a9/5f777ycuLg7HcQgE\nAiQlJfHAAw9QXl4OwOTJkwEoKCggJyeHAQMGsGjRIgoLCwF4/fXXqampYdasWcd93rKyMrKzs7vi\nOAGow+EuguzFYRgW5+PjfCyG4SOVg1eTTlYLDksIsYoQi4lhFjY+LdogIlGkq8/F0UTZiIh0v86c\nizt0Zai4uJi0tLTIKnKDBg3isccei3z+u9/9Lg899BC9e/cmMzOTRx55hGuuuYZAIEB9fT3p6elY\nlkVCQgK1tbWcd955bNy4kauvvvqUBn2qUrF4mtgu/Z6xWNxLDP+GzUxa+AVhHiOGoT1/1XIRERER\nkajW7m/s77//Pps2bWL79u3MmzePH/zgB0etAmdZf78SkpaWxrhx48jPz2fJkiXk5eVFPj9z5kyK\ni4uZM2cOZ511FqNHj+7iw+k+F+DjdeKYjM04mnmYICGX30v0j21G0vWUsXnKWCS6aY6bpXzNU8bu\n1u6VoeHDh/Pss8+e8DGrVq1qsz1lyhSmTJly1OOGDBnC8uXLT3KIPYeNxZ34uQ4fNxPkWZp5nFhG\n6yqRiJxGIRw+xOFPOHyAwwe08hcc4oEvYR36xz/89+8fJ3LwfCYiIhLtOryAgnTcufjYQCxPEuYq\nmpmFn4X4iXfZLxe6Mdo8ZWyeVzN2cNgF/InWQwWPE/n4LzicicUwLIYeui/ySiyacfiUg6tnfgr8\nmdbIx0f+9zOgNwcLoyRgafcdpohn5/jponzNU8bupmLIEAuLGfj5Ojbfo4XRNFNCDJdid/fQRKQH\n2YvDe4eKnD8dusrzAQ61OPQBhuE7VPBYXIKfYVich8UZnXjzJXyoIDpcIDV21cGIiIi4jPq3DEvF\n4r+I4wFi+DYt3E4L+1xyL5F6WM1TxuZFW8YtOLxKmLsJ8k80kUYT+QQpo5U44HpsfkosdcRTzxls\nJI7HieUHxPBNbC7E16lCCA62yH0JiyH4GNMDXiaKi4uZNWsWd911V2TfG2+8wdy5c/n2t7/Njh07\n2jy+tLSUO+64g/z8fN5+++3I/h07dnDXXXcxZ84cnnzyydM1fGlHtM1xt1G+5iljd3P/q1yU+CY2\n24nnAHAhzbxAuLuHJCIu4By68rOSEP9KM/1p4i6CtAIPEsMnxPMH4vk5sdxDDDfgZww++rqs7bY7\nTZw4kQULFrTZN2jQIO666y5GjBjRZv/OnTvZsmULhYWFzJ8/n5KSEg7/hYmSkhJmz55NUVERdXV1\nRy0WJCIi0UdtcqfRl7B4nFheJszNBBlPmBXEkNJNv9Soh9U8ZWxeT8y4HoeXCfN7WnmZMLFYXImP\nXPw8hY9kFTonZfjw4ezevbvNvrPPPvuYj62qqmL8+PHYtk1KSgqpqanU1tYyYMAADhw4QHp6OgBZ\nWVlUVlZG1aqnPVVPnOM9ifI1Txm7m64MdYMrsHmXOM7C4kKaWEOIsEta50Sk632Bw+8IM5cgI2ni\nKzTxHGG+ho9XiWMHcawllm9hqxAyLBAI0L9//8h2UlISgUCAQCBAcnJyZH9ycjKBQKA7higiIqeR\niqFu0guLZcTwCnE8Q5h/opk3aT2tY1APq3nK2LzuzrgZhz04/IVWttPKFsJsIMxzhFlCkMtpJoUm\nHiBEIrCWWHYTz3PEcSt+0vFhqQDq0Y78GayoqNB2F2+vXLnSVeOJtm3la3778D63jCdat0+V5Rxu\nlnahsrIysrOzu3sYxjk4rCPMPIJ8A5sHiDkt7w5XVFTo0q1hyti8rs74CxweJUw9Dvtx2AeR/+7D\nYT+02ecAfYA+WPT+h4+/jMUV2EzAR58eXPD0hHPx7t27eeihh1i2bFmb/YsWLWLatGkMGTIEgPXr\n1wMwefJkAAoKCsjJyWHAgAEsWrSIwsJCAF5//XVqamqYNWvWCZ+3J2TT0+k8apbyNU8Zm9eZc7Hu\nGXIBC4tp+LkWm4UEuYAmCohhBjY+g79AaWKap4zN68qMt9PKt2nhfCwuxsc5+OgD9MY6VOT8/ePD\n/43rwUVONHEch468t5eZmckjjzzCNddcQyAQoL6+nvT0dCzLIiEhgdraWs477zw2btzI1VdffRpG\nLu3RedQs5WueMnY3FUMukojFfxDLDFq5jRYeJ8waYhitbkYRoxwcSgjzI4IsJYbp2Gpd60GKioqo\nqalh37593HrrreTk5NCrVy+eeOIJPvvsMx588EEGDx7Mj370I9LS0hg3bhz5+fn4/X7y8vKwrIP/\nr2fOnMn9m21kAAAgAElEQVTq1asJBoNkZGRo8QQREQ9QMeRCX8XHZuL4KWH+hWa+jc39xNCvi385\n02Vb85SxeZ3NeC8ONxPkfVrZRBzD9eZDjzNnzpxj7r/44ouPuX/KlClMmTLlqP1Dhgxh+fLlXTo2\n6TydR81SvuYpY3fTq75L+bDIw08N8TQBX6GJpwnhaNU5kS7zJq1k0Ex/4A0VQiIiIp6jV36XS8Zi\nLbE8RxzLCXE5LdR00apzepfCPGVs3qlk3IrDMoJcQzNLiWE1sZyhtjgRV9J51Czla54ydjcVQz3E\n1/BRSRzXYzOBZuYRZL+uEomctN04XEMLvyLMm4fmlIiIiHiTiqEexMbidvxsJ556HEbQzH8RPuXW\nua5Ym11OTBmbdzIZlxMmgyZG4WMjcQzWKVDE9XQeNUv5mqeM3U2/CfRAZ2LxFLGsI4ZFBLmKFl7u\nRFEkEu1CONxDkKm08FNiWUIMMWqLExER8TytJteDZWGzDR9PEub7BAkCt+PnJuwO/YFH9bCap4zN\nay/jv9HKVILEAduI5ywVQSI9is6jZilf85Sxu+nKUA8Xg8Us/LxNHI8SQwVhvkwT36OFP3bRQgsi\nPdXzhMmkmavx8RKxKoRERESkDRVDUcLCIgubXxLHO8TTD4ssmrmKZn5NmPAxWujUw2qeMjbvWBk3\n4zCHFu4gSCmxzCcGnwohkR5J51GzlK95ytjdVAxFoTQsFhPDX4lnGjaLCTKUZpYRJKD7iiTK/YlW\nxtPM33DYRhzjtVqciIiIHIeKoSgWh8U0/PyBeJ4hlndwOI8mZtHC27Sqh/U0UMbmXXbZZbTg8FvC\n5NLCOJqZiZ9fEUuSrgaJ9Hg6j5qlfM1Txu6mYsgjLsbHU8TyR+IZjMW/0kwWzfwnIYK6WiQ9UDMO\nvyHMdFo4iyaWECIDH28Tz234sVQIiYiISDtUDHlMChYLiOEvxJP93h9ZQ5jBNHE/QT5RUdTl1Cfc\ntZpx+DVhbqKFVJp4iBB9//S/vEs8rxHHHPwMVBEkElV0HjVL+ZqnjN1NxZBH+bGYsDtABXH8jjj+\nisP5NHE3QT5VUSQu0oTD84SZdugK0FJCjMXHduLZRBzX7/pYBZCIiIicEhVDHna4h/UifDxGLFuJ\nox6HoYeuFH2moqjT1Cd8appw+G/CfOfQFaDlhPgnfLxHPBuJ43v4OftQAaSMRaKb5rhZytc8Zexu\nKoYkYjA+SohlC3F8cKgoepggn6soktPgcAE09dAVoEJCjMNHDfG8Shy3H1EAiYiIiHQFFUMedrwe\n1qH4+DmxlBNHFQ7pNFFEiCYVRSdNfcLt+wyHeQRJPVQAjcfH/xBPBXF8Fz+p7RRAylgkummOm6V8\nzVPG7qZiSI5rBD7+k1heJI4ywgylmZ8QokVFkXSBVhx+SojzaeITHLafRAEkIiIi0hVUDHlYR3tY\nR+PjeeL4FbGUEuZ8mnmCECEVRe1Sn/CxbSHMP9FMCWGeJ46fEnvKiyAoY5HopjlulvI1Txm7m4oh\n6bCL8fEScfycGH5GmBE08/8IEVZRJB20C4dptPAtWpiDn9eJZaxOQyIiItJN9FuIh51qD+ul2JQT\nSzExrCLMKJr5FWFaVRQdRX3CBzXh8ABBRtHEICzeJ57vdNEfRlXGItFNc9ws5WueMnY3f3cPQHom\nC4tsbC7Hx4u0spAgi4F7iCG9i+736A0kY9Hn0PNJz+Pg8N+0MpcgI7F4kziG6D0YERERcQkVQx7W\nFT2sFhaTsLkaH+tpZQUhGrvgCpED7Af24NAMJHGwMErCIvnQx8kn+DgJiHVBAeXlPuH3aGUOQepw\neJQYrsA28jxezljECzTHzVK+5iljd1MxJF3CwmIKNlMM/MLbjEOAg4XRwX9///gT4I+0EviH/QHg\nDOAcLG7Bz0xsermgOPKCT3G4lyDPEGYhMdyKjV/Zi4iIiAu1Wwzt2bOHVatWsXfvXizL4oorruDq\nq69m3bp1bN26Fb/fz5lnnsltt91GQkICAKWlpZSXl2PbNrm5uYwaNQqAHTt2sGbNGoLBIBkZGeTm\n5ho9ODmxioqKHvFuRRwWqXBSyy07OHwGbD90tWoxQW7Fz/fw0/80/mLeUzLuCmEc1hLmPoJ8E5sa\n4k9L1l7KWMSLNMfNUr7mKWN3a7d537Ztpk+fzooVKygoKOB3v/sdu3btYuTIkSxfvpylS5eSmprK\n+vXrAdi5cydbtmyhsLCQ+fPnU1JSguMcbJsqKSlh9uzZFBUVUVdXR3V1tdmjE8+ysOiHxSXY/Io4\nXiOOOhyG0cTttPBnWrt7iFGlgjBfpZlnCbOBOIqJPa1Fp4iIiMipaLcYSkxMZPDgwQDEx8czcOBA\nAoEAI0eOxOc7+OVDhw5lz549AFRVVTF+/Hhs2yYlJYXU1FRqa2tpbGzkwIEDpKenA5CVlUVlZaWh\nw5KO8NK7FMPwsZZY3iOePliMpZn/QwtvGS6Koj3jt2nlWzQznSB3E0M5sYw6zQskRHvGIl6nOW6W\n8jVPGbvbSf3W0tDQwIcffsjQoUPb7C8vLycjIwOAQCBA//79I59LSkoiEAgQCARITk6O7E9OTiYQ\nCHRm7CInLRWLJcSwg3jGYHEtzfwLzZQRxtHS4B1Sh8PyQ8tkf4MWMvDxP8TxLWyt+iciIiI9SoeL\noaamJlasWEFubi7x8fGR/c899xy2bXPppZcaGeCRa7NXVFRouwu3V65c6arxnM7tvliMqXidJ159\nkxuw+R5Bhu/7hHvfe4fQoaKoK55v5cqVrjjezm4fwOGemnf42p46RtDEezjMqH6PJyre4EfEkIDV\nbeM7vM9NeUXjtkh30c+hWcrXPGXsbpZz+IaeEwiHwzz44INkZGQwadKkyP6KigrKysq45557iImJ\nAYjcOzR58mQACgoKyMnJYcCAASxatIjCwkIAXn/9dWpqapg1a9Zxn7esrIzs7OxTPzo5oYoK3dB3\nWCsOv6GVhwlRh8Nd+MnF5oxOXunoyRk7OLxGK08R5leEGYuPmw6tGJjgoitAPTnjnkLn4uNTNuZp\njpulfM1TxuZ15lzcoStDxcXFpKWltSmEqquref7555k3b16kEALIzMxk8+bNhEIhGhoaqK+vJz09\nncTERBISEqitrcVxHDZu3MjYsWNPadDSNTQx/86HxTeweY04niKG3xHmXJpYTJBAJ9rnemLG/0sr\n9xHkPJqZTZChWLxLPC8Rx1T8riqEoGdmLCIdpzlulvI1Txm7W7tLa7///vts2rSJQYMGMW/ePCzL\n4oYbbuCJJ54gFAqxePFi4OAiCnl5eaSlpTFu3Djy8/Px+/3k5eVhWQd/eZo5cyarV6+OLK09evRo\ns0cncgouwea/sfkfWllKiHSa+BY22fjIwuYslxUDXaERh/8kzFOE+ROt3IjNfxFLBpbuAxIREZGo\n1aE2ue6i9gOzdNm2Y3bh8AwhXqWV12hlABZZ+JiAjyx8DDrBBVY3ZxzEYcOhNriXCHMlPm7Cz9fx\nEdODCiA3ZxwtdC4+PmVjnua4WcrXPGVsXmfOxe1eGRLxuoFYzCWGuRy8t+hdHF6llfWE+T5Beh1R\nHE3AxxAXXk1xcNiBw1s4vEUrb9FKJa0MPXQfUDExJLlszCIiIiKmqRjyML1LcfJ8WIzCYhQ+7sCP\ng8P7h4qjl2llIUEAJmAfLJAuy8LBOa3FUQiH/zmi6HkLh2pa6YPFV7HIwMct+FmLj7QoKID0cywS\n3TTHzVK+5iljd1MxJNIJFhZfweIr+JjN36/AvEorr9LKQ4T4HIesQ/cbfQWLPlj0gUP/LHrDKbel\nHcDhnTaFTyvv4XDOoaInA4tJ2GTgo38UFD4iIiIiXUnFkIeph7XrWVich8V5+Ph3DmY85LIsNh4q\njv4bh/047AP2QeRjP22LoyMLpt6Rjw/+1wK2HyqAduAwPFL4HGx5G4WP3h4qfPRzLBLdNMfNUr7m\nKWN3UzEkYtggfHwHH985zucdHJpoWxztO/Tf/Ud8vA+HRiAETMTH9/FzARaxHip8RERERLqSiiEP\n07sU5nUkYwuLM4AzgBQVNidNP8ci0U1z3Czla54ydrcO/dFVERERERGRaKNiyMMqKiq6ewhRTxmb\np4xFopvmuFnK1zxl7G5qkxMRkR6tuLiYbdu20a9fP5YtWwbA/v37WblyJbt37yYlJYX8/HwSEhIA\nKC0tpby8HNu2yc3NZdSoUQDs2LGDNWvWEAwGycjIIDc3t7sOSUREThNdGfIw9bCap4zNU8YyceJE\nFixY0Gbf+vXrueiiiygqKuKCCy6gtLQUgJ07d7JlyxYKCwuZP38+JSUlOI4DQElJCbNnz6aoqIi6\nujqqq6tP+7HI0TTHzVK+5iljd1MxJCIiPdrw4cPp1atXm31VVVVMmDABOPiLSGVlZWT/+PHjsW2b\nlJQUUlNTqa2tpbGxkQMHDpCeng5AVlZW5GtERCR6qRjyMPWwmqeMzVPGcix79+4lMTERgMTERPbu\n3QtAIBCgf//+kcclJSURCAQIBAIkJydH9icnJxMIBE7voOWYNMfNUr7mKWN3UzEkIiJRz7LMLVt/\n5C86FRUV2u7i7SPbFd0wnmjbVr7ajpbtU2U5h5ulXaisrIzs7OzuHoaIiKf1hHPx7t27eeihhyIL\nKOTn53PvvfeSmJhIY2MjixYtorCwkPXr1wMwefJkAAoKCsjJyWHAgAGRxwC8/vrr1NTUMGvWrBM+\nb0/IRkQk2nXmXKwrQyIi0uM5jsOR7+2NGTMm8o5hRUUFmZmZAGRmZrJ582ZCoRANDQ3U19eTnp5O\nYmIiCQkJ1NbW4jgOGzduZOzYsd1xKCIichqpGPKwrri0KCemjM1TxlJUVMTChQupq6vj1ltvpby8\nnMmTJ/Puu+8yZ84ctm/fHrkSlJaWxrhx48jPz2fJkiXk5eVFWuhmzpxJcXExc+bM4ayzzmL06NHd\neVhyiOa4WcrXPGXsbvo7QyIi0qPNmTPnmPsXLlx4zP1TpkxhypQpR+0fMmQIy5cv79KxiYiIu+nK\nkIdp3XvzlLF5ylgkummOm6V8zVPG7qZiSEREREREPEnFkIeph9U8ZWyeMhaJbprjZilf85Sxu6kY\nEhERERERT1Ix5GHqYTVPGZunjEWim+a4WcrXPGXsbiqGRERERETEk1QMeZh6WM1TxuYpY5Hopjlu\nlvI1Txm7m4ohERERERHxJBVDHqYeVvOUsXnKWCS6aY6bpXzNU8bupmJIREREREQ8ScWQh6mH1Txl\nbJ4yFolumuNmKV/zlLG7qRgSERERERFPUjHkYephNU8Zm6eMRaKb5rhZytc8ZexuKoZERERERMST\nVAx5mHpYzVPG5iljkeimOW6W8jVPGbubiiEREREREfEkFUMeph5W85SxecpYJLppjpulfM1Txu6m\nYkhERERERDyp3WJoz549LFq0iO9///vMnTuXF154AYD9+/ezePFi5syZQ0FBAV988UXka0pLS7nj\njjvIz8/n7bffjuzfsWMHd911F3PmzOHJJ5/s+qORk6IeVvOUsXnKWCS6aY6bpXzNU8bu1m4xZNs2\n06dPZ8WKFRQUFPDSSy+xa9cu1q9fz0UXXURRUREXXHABpaWlAOzcuZMtW7ZQWFjI/PnzKSkpwXEc\nAEpKSpg9ezZFRUXU1dVRXV1t9uhERERERESOo91iKDExkcGDBwMQHx/PwIED2bNnD1VVVUyYMAE4\n2AtZWVkJQFVVFePHj8e2bVJSUkhNTaW2tpbGxkYOHDhAeno6AFlZWZGvke6hHlbzlLF5ylgkummO\nm6V8zVPG7nZS9ww1NDTw4YcfMmzYMPbu3UtiYiJwsGDau3cvAIFAgP79+0e+JikpiUAgQCAQIDk5\nObI/OTmZQCDQFccgIiIiIiJy0jpcDDU1NbFixQpyc3OJj48/6vOWZXXpwA47ss+yoqJC2124vXLl\nSleNJxq3V65c6arxROP24X1uGU+0bot0F/0cmqV8zVPGLud0QCgUchYvXuz89re/jey78847nU8/\n/dRxHMf59NNPnTvvvNNxHMcpLS11SktLI49bvHix88EHH7R5jOM4zmuvveasXbv2hM/78ssvd2R4\ncorKy8u7ewhRTxmbp4zN07n4+JSNeZrjZilf85SxeZ05F3foylBxcTFpaWlMmjQpsm/MmDFt3pHN\nzMwEIDMzk82bNxMKhWhoaKC+vp709HQSExNJSEigtrYWx3HYuHEjY8eO7frqTjpMPazmKWPzlLFI\ndNMcN0v5mqeM3c3f3gPef/99Nm3axKBBg5g3bx6WZXHjjTcyefJkCgsLKS8vZ8CAAeTn5wOQlpbG\nuHHjyM/Px+/3k5eXF2mhmzlzJqtXryYYDJKRkcHo0aPNHp2IiIiIiMhxWI5zaN1rFyorKyM7O7u7\nhxG1Kioq9G6FYcrYPGVsns7Fx6dszNMcN0v5mqeMzevMufikVpMTERERERGJFiqGPEzvUpinjM1T\nxiLRTXPcLOVrnjJ2NxVDIiIiIiLiSSqGPEzr3punjM1TxiLRTXPcLOVrnjJ2NxVDIiIiIiLiSSqG\nPEw9rOYpY/OUsUh00xw3S/map4zdTcWQiIiIiIh4koohD1MPq3nK2DxlLBLdNMfNUr7mKWN3UzEk\nIiIiIiKepGLIw9TDap4yNk8Zi0Q3zXGzlK95ytjdVAyJiIiIiIgnqRjyMPWwmqeMzVPGItFNc9ws\n5WueMnY3f3cPQERExJQXXniBsrIyALKzs5k0aRL79+9n5cqV7N69m5SUFPLz80lISACgtLSU8vJy\nbNsmNzeXUaNGdefwRUTEMF0Z8jD1sJqnjM1TxnI8f/vb33jllVd48MEHWbp0Kdu2baO+vp7169dz\n0UUXUVRUxAUXXEBpaSkAO3fuZMuWLRQWFjJ//nxKSkpwHKebj0I0x81SvuYpY3dTMSQiIlFp165d\npKenExMTg8/n4ytf+QpvvvkmW7duZcKECcDBX1IqKysBqKqqYvz48di2TUpKCqmpqdTW1nbnIYiI\niGEqhjxMPazmKWPzlLEczznnnMP777/P/v37aW5u5q233uKTTz6hsbGRxMREABITE9m7dy8AgUCA\n/v37R74+KSmJQCDQLWOXv9McN0v5mqeM3U3FkIiIRKWBAwdy3XXXsXjxYpYsWcLgwYPx+Y5+2bMs\nq1PPc+QvOhUVFdru4u3q6mpXjSfatpWvtqNl+1RZjosbosvKysjOzu7uYYiIeFq0nIt/8YtfkJyc\nzIsvvsi9995LYmIijY2NLFq0iMLCQtavXw/A5MmTASgoKCAnJ4ehQ4ce93tGSzYiIj1ZZ87FujIk\nIiJR67PPPgPgk08+4c033+TSSy9lzJgxkXcTKyoqyMzMBCAzM5PNmzcTCoVoaGigvr6e9PT07hq6\niIicBiqGPKwrLi3KiSlj85SxnMjy5cuZO3cuDz/8MHl5eSQkJDB58mTeffdd5syZw/bt2yNXgtLS\n0hg3bhz5+fksWbKEvLy8TrfQSedpjpulfM1Txu6mvzMkIiJRa9GiRUft6927NwsXLjzm46dMmcKU\nKVNMD0tERFxCV4Y8TOvem6eMzVPGItFNc9ws5WueMnY3FUMiIiIiIuJJKoY8TD2s5ilj85SxSHTT\nHDdL+ZqnjN1NxZCIiIiIiHiSiiEPUw+recrYPGUsEt00x81SvuYpY3dTMSQiIiIiIp6kYsjD1MNq\nnjI2TxmLRDfNcbOUr3nK2N1UDImIiIiIiCepGPIw9bCap4zNU8Yi0U1z3Czla54ydjcVQyIiIiIi\n4kkqhjxMPazmKWPzlLFIdNMcN0v5mqeM3U3FkIiIiIiIeJKKIQ9TD6t5ytg8ZSwS3TTHzVK+5ilj\nd/O394Di4mK2bdtGv379WLZsGQC1tbU8/vjjhMNhbNsmLy+P8847D4DS0lLKy8uxbZvc3FxGjRoF\nwI4dO1izZg3BYJCMjAxyc3PNHZWIiIiIiEg72r0yNHHiRBYsWNBm39NPP80NN9zAww8/TE5ODuvW\nrQNg586dbNmyhcLCQubPn09JSQmO4wBQUlLC7NmzKSoqoq6ujurqagOHIydDPazmKWPzlLFIdNMc\nN0v5mqeM3a3dYmj48OH06tWrzb7ExES++OILAD7//HO+9KUvAVBVVcX48eOxbZuUlBRSU1Opra2l\nsbGRAwcOkJ6eDkBWVhaVlZVdfSwiIiIiIiId1m6b3LFMnTqVhQsX8tRTTwFw//33AxAIBBg2bFjk\ncUlJSQQCAWzbJjk5ObI/OTmZQCDQmXFLF1APq3nK2DxlLBLdNMfNUr7mKWN3O6UFFIqLi5kxYwbF\nxcVMnz6d4uLirh5XxJGXFisqKrStbW1rW9vdsC0iIhKVnA5oaGhw5s6dG9m+6aab2nx++vTpjuM4\nTmlpqVNaWhrZv3jxYueDDz5wPv30U+fOO++M7H/ttdectWvXtvu8L7/8ckeGJ6eovLy8u4cQ9ZSx\necrYPJ2Lj0/ZmKc5bpbyNU8Zm9eZc3GHrgw5jhNZCAHgrLPOoqamBoB3332X1NRUADIzM9m8eTOh\nUIiGhgbq6+tJT08nMTGRhIQEamtrcRyHjRs3MnbsWAOlnYiIiIiISMdYzpFVzjEUFRVRU1PDvn37\n6NevHzk5OXz5y1+mpKSEUChETEwMeXl5nHvuucDBpbVfeeUV/H7/UUtrr169OrK09owZM9odXFlZ\nGdnZ2V1wmCIicqp0Lj4+ZSMi0v06cy5utxjqTnqRERHpfjoXH5+yERHpfp05F5/SAgoSHXRztHnK\n2DxlLBLdNMfNUr7mKWN3UzEkIiIiIiKepGLIw7TuvXnK2DxlLBLdNMfNUr7mKWN3UzEkIiIiIiKe\npGLIw9TDap4yNk8Zi0Q3zXGzlK95ytjdVAyJiIiIiIgnqRjyMPWwmqeMzVPGItFNc9ws5WueMnY3\nFUMiIiIiIuJJKoY8TD2s5ilj85SxSHTTHDdL+ZqnjN1NxZCIiIiIiHiSiiEPUw+recrYPGUsEt00\nx81SvuYpY3dTMSQiIiIiIp6kYsjD1MNqnjI2TxmLRDfNcbOUr3nK2N1UDImIiIiIiCepGPIw9bCa\np4zNU8Yi0U1z3Czla54ydjcVQyIiIiIi4kkqhjxMPazmKWPzlLFIdNMcN0v5mqeM3U3FkIiIiIiI\neJK/uwcg3Uc9rOYpY/OUsZxIaWkpmzZtwufzMWjQIG677TaamppYuXIlu3fvJiUlhfz8fBISEiKP\nLy8vx7ZtcnNzGTVqVDcfgWiOm6V8zVPG7qYrQyIiEpV2795NWVkZDz/8MMuWLSMcDvPaa6+xfv16\nLrroIoqKirjgggsoLS0FYOfOnWzZsoXCwkLmz59PSUkJjuN081GIiIhJKoY8TD2s5ilj85SxHM8Z\nZ5yB3++nqamJcDhMS0sLSUlJVFVVMWHCBODgO7aVlZUAVFVVMX78eGzbJiUlhdTUVGpra7vzEATN\ncdOUr3nK2N3UJiciIlGpd+/eXHPNNdx2223ExcUxcuRIRo4cyd69e0lMTAQgMTGRvXv3AhAIBBg2\nbFjk65OSkggEAt0ydhEROT10ZcjD1MNqnjI2TxnL8Xz88cf89re/Zc2aNTz66KM0NzezadOmox5n\nWVannufId30rKiq03cXbR3LDeKJt+0huGE80bh9+nXLLeKJ1+1RZjosbosvKysjOzu7uYYiIeFpP\nPRdv3ryZd955h9mzZwOwceNGPvjgA9577z3uvfdeEhMTaWxsZNGiRRQWFrJ+/XoAJk+eDEBBQQE5\nOTkMHTr0uM/RU7MREYkmnTkX68qQh3VFNS0npozNU8ZyPGeffTZ/+tOfaGlpwXEc3n33XdLS0hgz\nZkzk56aiooLMzEwAMjMz2bx5M6FQiIaGBurr60lPT+/GIxDQHDdN+ZqnjN1N9wyJiEhUGjx4MBMm\nTOCHP/whPp+PwYMHc8UVV9DU1ERhYSHl5eUMGDCA/Px8ANLS0hg3bhz5+fn4/X7y8vI63UInIiLu\npjY5ERE5IZ2Lj0/ZiIh0P7XJiYiIiIiInCQVQx6mHlbzlLF5ylgkummOm6V8zVPG7qZiSERERERE\nPEnFkIfp77OYp4zNU8Yi0U1z3Czla54ydjcVQyIiIiIi4kkqhjxMPazmKWPzlLFIdNMcN0v5mqeM\n3U3FkIiIiIiIeJKKIQ9TD6t5ytg8ZSwS3TTHzVK+5iljd/O394Di4mK2bdtGv379WLZsWWT/iy++\nyIYNG/D5fHz1q19l6tSpAJSWllJeXo5t2+Tm5jJq1CgAduzYwZo1awgGg2RkZJCbm2vmiERERERE\nRDqg3StDEydOZMGCBW32vffee2zdupVly5axfPlyrr32WgB27tzJli1bKCwsZP78+ZSUlOA4DgAl\nJSXMnj2boqIi6urqqK6uNnA4cjLUw2qeMjZPGYtEN81xs5SvecrY3dothoYPH06vXr3a7NuwYQOT\nJ0/Gtm0A+vbtC0BVVRXjx4/Htm1SUlJITU2ltraWxsZGDhw4QHp6OgBZWVlUVlZ29bGIiIiIiIh0\nWLttcsdSV1dHTU0Nv/jFL4iNjWXatGkMGTKEQCDAsGHDIo9LSkoiEAhg2zbJycmR/cnJyQQCgc6P\nXjpFPazmKWPzlLFIdNMcN0v5mqeM3e2UFlAIh8N8/vnnFBQUMHXqVFasWNHV44o48tJiRUWFtrWt\nbW1ruxu2RUREopLz/9u797goy/z/4+9hUAwUEARFkcy0PCuJ5tk1ayvLTWojv5WlW/vbrDTZtoNZ\nXzNPeSii1HbLjlt929oNO2y7bSnksU1SK0U3yVJIFIRATRSB+f1xL6OEHAQuZpj79Xw85gH3PcPM\nNW/ua+75zH3d19RBbm6u695773UvL1iwwLVjxw738rRp01yHDx92paSkuFJSUtzr582b5/rmm29c\nP/74o2vGjBnu9evXr3c999xztT7uJ598UpfmoZ5SU1M93QSfR8bmkbF5vBZXj2zMo4+bRb7mkbF5\nDeUNNeQAACAASURBVHktrtORIZfL5Z4IQZIGDRqk7du3S5L279+v0tJStWnTRnFxcdq4caNKS0uV\nm5urAwcOqFu3bgoNDVVgYKAyMzPlcrm0du1aDRo0yEx1BwAAAAB14HCdXuWcQXJysjIyMnTkyBGF\nhIQoISFBo0aN0ooVK/T999+rRYsWuuWWW9SrVy9J1tTaa9askb+/f5WptZcvX+6eWnvKlCm1Nm71\n6tUaO3ZsIzxNAEB98VpcPbIBAM9ryGtxrRMo3HPPPWdcP23atDOuj4+PV3x8fJX1Xbt21RNPPHGW\nzQMAAAAAM+o1gQJ8AydHm0fG5pEx4Nvo42aRr3lk7N0ohgAAAADYEsWQjTHvvXlkbB4ZA76NPm4W\n+ZpHxt6NYggAAACALVEM2RhjWM0jY/PIGPBt9HGzyNc8MvZuFEMAAAAAbIliyMYYw2oeGZtHxoBv\no4+bRb7mkbF3oxgCAAAAYEsUQzbGGFbzyNg8MgZ8G33cLPI1j4y9G8UQAAAAAFuiGLIxxrCaR8bm\nkTHg2+jjZpGveWTs3SiGAAAAANgSxZCNMYbVPDI2j4wB30YfN4t8zSNj70YxBAAAAMCWKIZsjDGs\n5pGxeWQM+Db6uFnkax4ZezeKIQAAAAC2RDFkY4xhNY+MzSNjwLfRx80iX/PI2LtRDAEAAACwJYoh\nG2MMq3lkbB4ZA76NPm4W+ZpHxt6NYggAAACALVEM2RhjWM0jY/PIGPBt9HGzyNc8MvZuFEMAAAAA\nbIliyMYYw2oeGZtHxoBvo4+bRb7mkbF38/d0AwAAMGH//v166qmn5HA45HK5dPDgQd1www0aNWqU\nnnrqKeXl5SkyMlKJiYkKDAyUJKWkpCg1NVVOp1OTJ09W//79PfwsAAAmcWTIxhjDah4Zm0fGqE7H\njh21ePFiLVq0SI8//rhatWqlwYMHa9WqVerbt6+Sk5PVu3dvpaSkSJKys7O1adMmJSUlaebMmVq5\ncqVcLpeHnwXo42aRr3lk7N0ohgAAPu/rr79W+/bt1a5dO6Wnp2v06NGSrOErmzdvliSlp6dr2LBh\ncjqdioyMVFRUlDIzMz3ZbACAYRRDNsYYVvPI2DwyRl1s3LhRI0aMkCQVFRUpNDRUkhQaGqqioiJJ\nUkFBgdq1a+f+m7CwMBUUFDR9Y1EJfdws8jWPjL0bxRAAwKeVlpYqPT1dQ4YMOeP1DoejQfd/+hCY\ntLQ0lllmmWWWPbBcXw6XFw+IXr16tcaOHevpZvistLQ0Pq0wjIzNI2PzmvtrcXp6uj766CPNmjVL\nkpSYmKjZs2crNDRUhYWFmjNnjpKSkrRq1SpJ0oQJEyRJ8+fPV0JCgrp3717tfTf3bJoD+rhZ5Gse\nGZvXkNdijgwBAHza+vXrNXz4cPfywIED3Z8mpqWlKS4uTpIUFxenjRs3qrS0VLm5uTpw4IC6devm\niSYDAJoIU2vbGJ9SmEfG5pExanLixAl9/fXX+t3vfudeN2HCBCUlJSk1NVURERFKTEyUJEVHR2vo\n0KFKTEyUv7+/br/99gYPoUPD0cfNIl/zyNi7UQwBAHxWQECAXnjhhUrrWrdurUceeeSMt4+Pj1d8\nfHxTNA0A4AUYJmdjjXHSGWpGxuaRMeDb6ONmka95ZOzdKIYAAAAA2FKtw+SeffZZbdmyRSEhIVq6\ndGml695//3299tpreuGFF9S6dWtJUkpKilJTU+V0OjV58mT1799fkrRnzx6tWLFCJ0+eVGxsrCZP\nntz4zwZnhTGs5pGxeWQM+Db6uFnkax4Ze7dajwyNGTPGPR3p6fLz8/XVV19V+oK67Oxsbdq0SUlJ\nSZo5c6ZWrlypipm7V65cqTvuuEPJycnKycnRtm3bGvFpAAAAAMDZqbUY6tGjh4KCgqqsf+WVVzRp\n0qRK69LT0zVs2DA5nU5FRkYqKipKmZmZKiwsVHFxsXuK0lGjRmnz5s2N9BRQX4xhNY+MzSNjwLfR\nx80iX/PI2LvV65yh9PR0hYeHKyYmptL6goKCSkeKwsLCVFBQoIKCAoWHh7vXh4eHq6CgoJ5NBgAA\nAICGO+tiqKSkRCkpKUpISDDRnipOr6bT0tJYbsTlinXe0h5fXD6dN7THF5crxmJ7S3t8dRnwFM63\nMIt8zSNj7+ZwVZzUU4O8vDwtWrRIS5cu1b59+zR37lwFBATI5XKpoKBAYWFhWrBggVJTUyVZX2gn\nSfPnz1dCQoIiIiI0Z84cJSUlSZI2bNigjIwM/fa3v63xcVevXq2xY8c29DkCABqA1+LqkQ0AeF5D\nXovrdGTI5XK5J0KIiYnR888/r2XLlmn58uUKCwvTokWLFBISori4OG3cuFGlpaXKzc3VgQMH1K1b\nN4WGhiowMFCZmZlyuVxau3atBg0aVK8Go/Hwqa95ZGweGQO+jT5uFvmaR8berdaptZOTk5WRkaEj\nR45o6tSpSkhI0JgxY9zXOxwO9+/R0dEaOnSoEhMT5e/vr9tvv919/W233ably5e7p9YeMGCAgacD\nAAAAAHVTp2FynsLwAwDwPF6Lq0c2AOB5xofJAQAAAICvoRiyMcawmkfG5pEx4Nvo42aRr3lk7N0o\nhgAAAADYEsWQjTHvvXlkbB4ZA76NPm4W+ZpHxt6NYggAAACALVEM2RhjWM0jY/PIGPBt9HGzyNc8\nMvZuFEMAAAAAbIliyMYYw2oeGZtHxoBvo4+bRb7mkbF3oxgCAAAAYEsUQzbGGFbzyNg8MgZ8G33c\nLPI1j4y9G8UQAAAAAFuiGLIxxrCaR8bmkTHg2+jjZpGveWTs3SiGAAAAANgSxZCNMYbVPDI2j4wB\n30YfN4t8zSNj70YxBAAAAMCWKIZsjDGs5pGxeWQM+Db6uFnkax4ZezeKIQAAAAC2RDFkY4xhNY+M\nzSNjwLfRx80iX/PI2LtRDAEAAACwJYohG2MMq3lkbB4ZA76NPm4W+ZpHxt6NYggAAACALVEM2Rhj\nWM0jY/PIGPBt9HGzyNc8MvZuFEMAAAAAbIliyMYYw2oeGZtHxoBvo4+bRb7mkbF3oxgCAAAAYEsU\nQzbGGFbzyNg8MgZ8G33cLPI1j4y9m7+nGwAAACSdOCGtWiWtXCm1bCklJEgTJkghIU3bDpdL+uor\n6a23pPffl44cadrH/5mLjx+XWrXyaBt8GfmaR8ZNYOXKev8pxZCNMYbVPDI2j4zR7O3eLT3/vPTK\nK1LfvtJvfyuVlVnFyPTp0i9+Id1wgzR+vNSmjZk2uFzS9u3WY771llWYJSRIzz0ntW9v5jHr6ByP\nPrrvI1/zyLgJ7NlT7z+lGAIAoKmVlEgpKVaxsX27dOut0vr1Uvfup25z441SUZH07rvS669LU6dK\nl11mFSlXXSUFBTW8HTt2nCqAfvrJuu8//1kaNEhyOBp+/wDQFBpQDHHOkI0xhtU8MjaPjFGTY8eO\n6cknn1RiYqJ+//vfa/fu3Tp69KjmzZune+65R/Pnz9exY8fct09JSdH06dOVmJioL7/8svEblJkp\nPfCA1LmzVQj9v/8n7dsnLV5cuRCqEBIi3XKL9Pe/S999J115pfTCC1KnTtLEiVZBdfz42bVh507p\nscekPn2kK66whsG99JK0d6+0dKk0eLBXFUL0cbPI1zwy9m4UQwAAn/XSSy8pNjZWSUlJWrJkiTp1\n6qRVq1apb9++Sk5OVu/evZWSkiJJys7O1qZNm5SUlKSZM2dq5cqVcrlcDW9ESYl15OXSS6Xhw60h\naevWSatXW8PfAgLqdj9hYdJtt0kffWQNrRszRnrmGSkqSpo0yTq/58SJM//tf/4jzZsn9etntaOg\nwCrG9u6VnnxSGjLEqwogAGgqFEM2xrkW5pGxeWSM6hw7dky7du3SmDFjJElOp1OBgYFKT0/X6NGj\nJVnbz+bNmyVJ6enpGjZsmJxOpyIjIxUVFaXMzMz6N+D0o0B//KN1LlDFUaALLmjYk4uIkH73O2nN\nGutIz8UXW/cbFSVNmSL985/Srl3SggXSgAFW4ZSbK61YIWVlSU89JQ0bJvl5/9sA+rhZ5GseGXs3\n7z9naMsWa0zzvn3S6NHN5sUbAOBZubm5atOmjVasWKG9e/eqa9eumjx5soqKihQaGipJCg0NVVFR\nkSSpoKBAF5xWpISFhamgoKD2B1qyRJo82SpQSkqsc3yee0768kvrXKB16xpe/NSkQwfp7rutyw8/\nSG+/Lc2ZY+034+Olp5+2jkg5nebaAADNlPdXFbfeao2VLiiQ7rzT+oRt+nRr51Je7unWNWuMYTWP\njM0jY1SnvLxc3333nS6//HItWrRIAQEBWrVqVZXbORo4PCxnzRqr2Bk/XiUdOujHhQut4WxZWUq7\n6iql7d/vvm1aWlqlbbbRl3fvVtqAAdKmTdIPPyjt179WWnm5uxAy/vgGlp966imvao+vLZOv+eWK\ndd7SHl9dri+Hq5YB0c8++6y2bNmikJAQLV26VJL02muv6YsvvpC/v7/at2+vO++8U4GBgZKsk09T\nU1PldDo1efJk9e/fX5K0Z88erVixQidPnlRsbKwmT55ca+NWr16tsWPHVl65a5f1qdfbb0uHDknX\nXSddfz2fetVDWloah24NI2PzyNi8M74WNwOFhYV6+OGHtWzZMknSrl27tGrVKh08eFCzZ89WaGio\nCgsLNWfOHCUlJbkLpQkTJkiS5s+fr4SEBHU/08QG/+XO5scfrXN2hgwxexTIhujjZpGveWRsXkP2\nU7UeGRozZoxmzZpVaV2/fv30xBNPaMmSJYqKinLvQGo6+XTlypW64447lJycrJycHG3btq1eDVaP\nHtIjj1hfCJeaan3/wbRp1hGju++WPv3U+n4G1IqOaR4Zm0fGqE5oaKjCw8O1/79HZr7++mtFR0dr\n4MCBlT6pjYuLkyTFxcVp48aNKi0tVW5urg4cOKBu3brV7cHatrVmfaMQanT0cbPI1zwy9m61njPU\no0cP5eXlVVrXr18/9+/du3fXv//9b0nVn3waERGh4uJi905l1KhR2rx5swYMGNCw1l94ofTww9bl\nP/+xjhZNn26dJHrttdb3JYwYwREjALCpKVOm6JlnnlFpaal7JEN5ebmSkpKUmpqqiIgIJSYmSpKi\no6M1dOhQJSYmyt/fX7fffnuDh9ABALxbgydQSE1N1fDhwyVVf/Kp0+lUeHi4e314eHjdTko9G6cX\nRt98YxVG99wjHTxoFUbXXy+NHFl7YVRcLOXnW5dDh079/vPlsDDrxNQrrmicL77zAA7bmkfG5pEx\natKlSxctXLiwyvpHHnnkjLePj49XfHy86WbhLNDHzSJf88jYuzWoGHrnnXfkdDo1YsSIxmpPFadv\nQBXDGuq0PGuW0oYP1zlZWbo4K0tKTFTJ3r3KGzlSnfr3l/Lzlbtzp1oUFaltebmUn6+yvDw5ysrk\n166d1K6dfnQ6VRocrIiePaXwcGWWlOhkdLR6TpwoZWWp4PHHFXzrrfK//HLp2mu1LiREZa1b16+9\nHliuGKroLe3xxeVt27Z5VXt8cbmCt7THV5cBAPBFtU6gIEl5eXlatGiRewIFydpRrl69Wv/7v/+r\nFi1aSFK1J59GRES4T1CVpA0bNigjI0O//e1va3zcRj9pd/du69u6jx2TwsOtS7t2lX8PCjq7L54r\nKJDee0/629+s85VGjrQmdbjmGus+AaCZa64TKDQFsgEAz2vIa3Gdjgy5XK5K38K9bds2vffee5oz\nZ467EJKsk0+ffvppXX311SooKHCffOpwOBQYGKjMzEydf/75Wrt2ra688sp6NbhBuneX7r+/ce8z\nLMz6fonJk6XDh6UPP7QKo8REadAga4hefLz1RXgA0NhcLuno0VNDeAsKKg/vrVguLJT8/aVWraRz\nzjm7nwAA+Khai6Hk5GRlZGToyJEjmjp1qhISEpSSkqLS0lLNmzdPkjWJwu23317jyae33Xabli9f\n7p5au8GTJ3ij4GBp4kTrcuyY9NFHVmE0a5bUu7d1xOjaa6Vzz/V0SyUxhrUpkLF5PplxebmUkyPt\n2WNdDhyoudhp0eLUEe7wcOtDmorfzz1Xio21ZjsrK7POizx+vOrPH3888/riYmsGT8BDfLKPexHy\nNY+MvVutxdA999xTZd2YMWOqvX11J5927dpVTzzxxFk2rxkLDLSOCMXHSydOSGvWWIXRggXWm5Pr\nrrMuTMMK2FNxsfTdd6cKnm+/PfXzu++kkBCpa1frEhVlFTbdu1ctdsLCzB+9Wb3a7P0DAOAhdTpn\nyFN8cix2aam0dq1VGKWkWG94xo+XfvUraehQpgEHfEVZmXXk5ueFTsXPQ4esD0bOP98qeE7/ed55\nUuvWnn4Gbj75WtxIyAYAPM/4OUNoRP7+0iWXWJdnnpG2bLEmYJg2TcrOlsaNswqjX/5SatPG060F\n7KW8XEpLs/rk4cNSSYl1ZLekpO6/Vyy7XFJoaOUiZ8QI6dZbreXoaD78AADAwyiGPMnPT4qLsy6P\nPSbt2yd98IH0/PPSlCnSsGHWUaPx46WYmEZ/eMawmkfG5jVKxrt2SX/+s3UJD5duuEHq109q2VIK\nCLB+VlxOX67pOqfz7GamBHBGvI6aRb7mkbF3oxjyJjEx0p13WpcjR6R//cv6hPrRR6VOnawjRuPH\nSwMHWoUUgPrLz5fefFN69VUpK0u66Sbrw4h+/TzdMgAA0EQohrxVmzanJlkoK5M2bZLef1+65Rap\nqEi6+mqrOBo71pr+th74lMI8MjbvrDIuKbGmv3/1VWtSk3HjrKOyY8daQ1gBeB1eR80iX/PI2Lux\n928OnE7rXIMRI6RFi6wvj33/femJJ6Qbb5RGj7aG1A0ebA25CwnxdIsB7+FySenp0iuvSH/5izXN\n/S23SC+/bE2HDwAAbIuxVs1R9+7S738vpaZK339vvbHLzz81nK5XL+tLYFessN4ElpSc8W7S0tKa\nsNH2RMbmVZtxVpa0cKHVH268UWrfXvr8c2uChN/8hkIIaCZ4HTWLfM0jY+/GkaHmLixMuv566yJZ\nU3fv2GG96fv3v6U//tGaxrdfP+vIUcWlWzfPthtobKWlUmam9Nln0muvSVu3Wv3ixRelIUOYzAAA\nAFTB9wzZwdGj1hTeFQXS559bEzScXhwNHixFRnq6pUDtTp60ip4dO6SMDOuyY4e1rlMnacAAaeJE\n67w6019GahO8FlePbADA8/ieIdSsdWtp1CjrUuHAAWnzZqswevpp6/fISGnMGOs7kH7xC4ojeFZJ\nSeWip+Lnt99a39HTu7c1BG78eOmBB6QePeo9mQgAALAnzhmyqw4dlNamjTR3rjWFd36+9NZb0oUX\nWkOMLrjAGlp3zz3Su+9KhYWebnGzxDjhOvrhB2v7e/RRa2hb797WRCATJkivv24VRtdcY22bBQXW\nJCKrVkkLFiitUycpNpZCCPBRvI6aRb7mkbF348gQLH5+Uv/+1iUx0Tr/YssWa/rhFSukm2+2Pnmv\nOHI0YoR1xAmoj9JSa8jm3/9uTXWdlWVtU336SPHx0sMPWwU5BQ4AADCIc4ZQNydOWEPq1qyxZrFL\nT7cKp0susS5Dh3J+BmqWlyd99JFVAP3rX1LnztJVV1nf9XPxxXzPjxfjtbh6ZAMAnsc5QzAvIEAa\nOdK6zJ4tHTsmbdxoFUcPPSRt3y4NGmSdlxQa2jiP2bKldWQgMLBuP/0Y9elVysutGd0+/NC6ZGRY\nhfNVV0lLl1qTHQAAAHgQxZCNpaWl1f9bkQMDpUsvtS6SdPiwtG6dtH699d1HDeVyWbOGHTsmFRdX\n//P031u0qFoghYZaM+UNH25d2rdveNvOQoMybo6KiqSPP7aKn3/8wzrvZ9w4ad48axhcQECjP6Tt\nMgZshj5uFvmaR8bejWIIjSM42PrE/6qrPPP4Lpc1lO/nBdOhQ9b3zrzwgnTbbVJ4uPWmvKI46tGD\nI0oN4XJJO3eeOvcnPd3Kd9w4adYs6fzzPd1CAACAalEM2ZhPfUrhcFjnLLVqJbVtW/m6Sy6xfpaX\nW0O1NmywjmI9/rg1S97QoacKpLi4Rj1p36cyLi62prf+8stTl6++so7+XHmldO+91gQbQUFN2iyf\nyhhAFfRxs8jXPDL2bhRDsA8/P2u2sj59pN/9zlqXk2MVRxs2WG/md+ywphQfPvxUgRQR4dl2NzWX\ny/oeqtOLni+/lPbskbp3PzXr4Pjx1k++jwoAADRTFEM2xhhWSVFR0q9/bV0k6aefrFnzNmyQ/vQn\nafJk683+oEHSeedJ555rXbp0kWJiap1Bz+szPnlS2rXLKna2bTtV+JSVWYXOgAHS5ZdL998v9exp\n5JyfhvL6jAE0CH3cLPI1j4y9G8UQcLqgIGuo15gx1nJZmXW0aOtWae9eadMm6c03rd+zs60JGrp0\nOVUkVRRKFb97UnGxdYQnJ+fUz9N/z862vrw0JubU0Z4ZM6yfnTpZQw8BAAB8GMWQjfEpRR04ndaw\nuX79ql5XXm4VFXv3Wpfvv5e+/lr64APr97179YtzzqlcKLVrZx1dadnSutTnd0nKza1a6Py84Cku\nljp0sI5+VfyMirJm14uKkjp2tCaQaOJzfBob2zHg2+jjZpGveWTs3SiGgPry87OOoHTqJA0bVvV6\nl8uaze6/hZH27pV+/NGahvzECamkpOrPM637+XXl5dbQvYripkMHq7C56KLKhU/bthzdAQAAqAHF\nkI0xhtUwh0NpO3ZYGQ8a5OnW+Cy2Y8C30cfNIl/zyNi78QUrAAAAAGyJYsjG+JTCPDI2j4wB30Yf\nN4t8zSNj70YxBAAAAMCWKIZsLC0tzdNN8HlkbB4ZA76NPm4W+ZpHxt6NYggAAACALVEM2RhjWM0j\nY/PIGPBt9HGzyNc8MvZuFEMAAAAAbIliyMYYw2oeGZtHxoBvo4+bRb7mkbF3oxgCAAAAYEsUQzbG\nGFbzyNg8MgZ8G33cLPI1j4y9G8UQAAAAAFuiGLIxxrCaR8bmkTHg2+jjZpGveWTs3fxru8Gzzz6r\nLVu2KCQkREuXLpUkHT16VE899ZTy8vIUGRmpxMREBQYGSpJSUlKUmpoqp9OpyZMnq3///pKkPXv2\naMWKFTp58qRiY2M1efJkc88KAABJd911lwIDA+VwOOR0OrVw4cJ67cMAAL6p1iNDY8aM0axZsyqt\nW7Vqlfr27avk5GT17t1bKSkpkqTs7Gxt2rRJSUlJmjlzplauXCmXyyVJWrlype644w4lJycrJydH\n27ZtM/B0cDYYw2oeGZtHxqiJw+HQ7NmztXjxYi1cuFBS/fZh8Bz6uFnkax4Ze7dai6EePXooKCio\n0rr09HSNHj1akvUP3rx5s3v9sGHD5HQ6FRkZqaioKGVmZqqwsFDFxcXq1q2bJGnUqFHuvwEAwBSX\ny1WloDnbfRgAwHfV65yhoqIihYaGSpJCQ0NVVFQkSSooKFC7du3ctwsLC1NBQYEKCgoUHh7uXh8e\nHq6CgoKGtBuNgDGs5pGxeWSMmjgcDs2bN08zZ87U6tWrJZ39PgyeRR83i3zNI2PvVus5Q3XhcDga\n427OqGLnBTPI1zwyNo+MUZ25c+eqbdu2Onz4sObNm6eOHTtWuU1D92Fsf+aRsVnkax4Ze696FUOh\noaEqLCx0/wwJCZFkfYp26NAh9+3y8/MVFhamsLAw5efnV1lfm7Fjx9aneQAASJLatm0rSQoODtag\nQYOUmZl51vuwmrCfAoDmrU7D5H4+5nrgwIHuQ35paWmKi4uTJMXFxWnjxo0qLS1Vbm6uDhw4oG7d\nuik0NFSBgYHKzMyUy+XS2rVrNWjQoMZ/NgAA/NeJEyd0/PhxSdLx48f11VdfKSYm5qz3YQAA3+Vw\n1TJVTnJysjIyMnTkyBGFhIQoISFBgwYNUlJSkg4dOqSIiAglJia6J1lISUnRmjVr5O/vX2Vq7eXL\nl7un1p4yZYr5ZwcAsK3c3FwtWbJEDodDZWVlGjlypCZMmKCjR4+e9T4MAOCbai2GAAAAAMAX1Ws2\nOQAAAABo7iiGAAAAANhSo0ytXV8nT57U7NmzVVpaqtLSUsXFxenGG2+sdJuMjAwtXrxY7du3lyQN\nHjxY1113nSea26yVl5dr5syZCgsL0wMPPFDl+hdffFHbtm1TQECA7rrrLnXp0qXpG9nM1ZQx23HD\n3XXXXQoMDJTD4ZDT6dTChQur3IbtuGFqy9iu2zH7qqbBfso89lNmsZ8yz8R+yqPFUIsWLTR79mwF\nBASovLxcjzzyiHbt2qUePXpUul3Pnj3P+MKIuvvwww/VqVMnFRcXV7lu69atOnjwoJ5++mnt3r1b\nzz//vObPn++BVjZvNWUssR03lMPh0OzZs9W6deszXs923HC1ZSzZcztmX9U02E+Zx37KLPZT5pnY\nT3l8mFxAQIAk65O38vLyMz455nhomPz8fG3durXa78PYvHmzRo8eLUnq3r27jh07psLCwqZsYrNX\nW8YS23FD/XyK/59jO2642jKuuI0dsa8yi/2UeeynzGM/ZZ6J/ZRHjwxJ1iHbBx98UAcPHtRll12m\n6OjoKrfZvXu37rvvPoWFhWnSpElnvA2q98orr2jSpEk6duzYGa8vKChQeHi4ezksLEwFBQUKDQ1t\nqiY2e7VlLLEdN5TD4dC8efPk5+ensWPH6tJLL610Pdtxw9WWsWTf7Zh9lVnsp8xjP2Ue+ynzTOyn\nPF4M+fn5afHixTp27Jjmz5+vjIwM9erVy319165dtWLFCgUEBGjr1q1asmSJkpOTPdji5mXLli0K\nCQlRly5dtGPHDj71MaAuGbMdN9zcuXPVtm1bHT58WHPnzlV0dHSVYUpomNoytvN2zL7KHPZT5rGf\nahrsp8wzsZ/y+DC5CoGBgYqNjdW3335baX2rVq3cwxNiY2NVWlqqo0ePeqKJzdKuXbuUnp6uu+++\nW8nJydqxY4eWLVtW6TZhYWHKz893L+fn5yssLKypm9ps1SVjtuOGa9u2rSQpODhYgwcPVmZmYE21\nsgAADpBJREFUZqXr2Y4brraM2Y7ZV5nAfso89lNNg/2UeSb2Ux4thg4fPuw+XFtSUqKvv/66yqwa\np4+lrHjCNZ00hcpuvPFGPfvss1q2bJlmzJihPn366O677650m7i4OH366aeSpG+++UZBQUEcsj0L\ndcmY7bhhTpw4oePHj0uSjh8/rq+++kqdO3eudBu244apS8Z23Y7ZV5nFfso89lPmsZ8yz9R+yqPD\n5AoLC7V8+XL3yVAjR45U37599fHHH8vhcOjSSy/VZ599po8//lhOp1MtW7bUjBkzPNlkn3F6xhdd\ndJG2bt2qadOmqVWrVpo6daqnm+cT2I4bT1FRkZYsWSKHw6GysjKNHDlS/fv3ZztuRHXJ2K7bMfsq\nz6B/m8c23HjYT5lnaj/lcDE4FwAAAIANec05QwAAAADQlCiGAAAAANgSxRAAAAAAW6IYAgAAAGBL\nFEMAAAAAbIliCAAAAIAtUQwBAAAAsCWKIQAAAAC2RDEEAAAAwJYohgAAAADYEsUQAAAAAFuiGAIA\nAABgSxRDAAAAAGyJYggAAACALVEMAQAAALAliiEAAAAAtkQxBAAAAMCWKIYAAAAA2BLFEAAAAABb\nohgCAAAAYEsUQwAAAABsiWIIAAAAgC1RDAEAAACwJYohAAAAALZEMQQAAADAliiGAAAAANgSxRAA\nAAAAW6IYAgAAAGBLFEMAAAAAbIliCAAAAIAtUQwBAAAAsCV/TzcAaCrFxcXKzs72dDMAAPB60dHR\nOuecczzdDMA4h8vlcnm6EYBpxcXF+u6779S5c2f5+XFAFACA6pSXlysrK0vnnXceBRF8Hu8KYQvZ\n2dkUQgAA1IGfn586d+7MaArYAu8MYRsUQgAA1A37TNgFWzoAAAAAW6IYAgAAAGBLFEMAAAAAbIli\nCPCwDh06KCoqSlFRUQoODlZERIR73VtvveXRtq1evVqxsbFq3769rr76ap84mbasrExt2rRRVlaW\np5uCZsxb++3x48d10003qVevXmrTpo0+++wzj7WlMdFvAZhCMQR42IEDB5STk6OcnBzFxMTor3/9\nq3tdQkJClduXlZU1Sbtyc3M1adIkzZ07V/v27VPfvn01ZcqUJnlsk1wulxwOh6ebUS9N9b9viObQ\nxsbgrf3W4XBoxIgReumllxQREdEkj9kU6LdmNYc2AqZQDAFexOVy6edf/fXYY4/p1ltv1ZQpU9Sx\nY0f95S9/0eeff65LLrlE0dHR6t69u+67775KO7Pt27dr/PjxiomJUbdu3ZScnOy+/yVLlqhfv37q\n0qWLpkyZoqKiojO25d1331W/fv109dVXKyAgQLNmzdLWrVv13XffnfH2xcXFeuCBB9SzZ09FR0fr\niiuu0MmTJ7Vnzx61adNGL7/8srp3764LLrhAy5cvd//d7bffroULF7qXU1NT1bt371qz2rlzp664\n4gpFR0dryJAh+uijj9zXXXbZZXrjjTfcy6+88oquvPJKSdIVV1whSYqLi1NUVJTee++9ah+joi2P\nP/64YmJi1LdvX/31r391X19UVKTbbrtNXbp0UZ8+ffTEE0+4r7vwwgu1fft2SdLrr7+uNm3aKDMz\nU5L04osvatKkSZJq/p9UZPfaa6+pZ8+euuaaa6q0sbZ8T5w4oT/84Q/q1q2bLrzwQs2cOVOlpaXu\nnD788ENJ0rp169SmTRutWbNGknVUcNSoUe77efnll3XRRRcpJiZG1113nX744QdJpz6xf/7559W/\nf38NHDiw2jx9lTf124CAAE2dOlUXX3xxnYoH+i391q79FqhAMQQ0Ax988IEmTpyo/fv367rrrlOL\nFi20ZMkSZWVl6ZNPPtEnn3yiF154QZJ0+PBh/epXv9JVV12lb7/9Vtu2bdPIkSMlSc8884z+9a9/\n6eOPP9Y333yjoKAg/eEPfzjjY+7cuVN9+/Z1L7du3Vrnnnuudu7cKUl688033fcrSffff78yMjL0\n6aefKisrS48++milqVk3btyo7du365133tGiRYu0fv36ap9vbW/iTp48qeuvv17jxo3T3r17tXDh\nQt16663VFmqn3+dHH30kl8ulL774Qjk5OfrVr35V42P98MMPOnbsmL799lstW7ZMd955p/txZsyY\noePHjysjI0MffPCBXn31Vf3f//2fJGn48OFat26dJGnDhg3q2rWrNmzYIElav369RowYIalu/5ON\nGzdq69at+tvf/iZJGjRokFJSUqrc5kz5Lly4UF9++aU+//xzbdiwQZ999pmWLl1abRsr/m7dunXu\n/++qVav09NNP6+2339b333+vuLi4KkcJ//GPf2jdunX697//XWOeduKJflsb+i399nT0W4BiCHAL\nat26wRdThg4dqssvv1yS9clvbGysBg4cKIfDoXPPPVdTpkxx7ww//PBDxcTE6I477lCLFi3UunVr\nXXTRRZKsTzYfffRRtW/fXi1bttSDDz5YZedc4aefflJwcHCldcHBwTp69KgkaeLEie4dcnl5ud54\n4w0tXbpUkZGRcjgcGjJkiJxOpyTrDc1DDz2kgIAA9enTRzfddJPefvvteuexadMmnTx5UtOnT5fT\n6dSYMWN02WWXVfr0tzY//yS/Ok6nUw899JBatGih0aNH69JLL1VKSopKS0uVkpKiuXPnKjAwUF26\ndNFdd93lflM1YsQI9/9k48aNuvfee93L69evd79hqe1/4nA49PDDD6tVq1YKCAiQJG3evFnx8fGV\nblNdvm+99ZYeeughhYWFqV27dnrwwQf15ptvSpJGjhzpbtOGDRsqtXHDhg3uN34vvvii7rvvPp1/\n/vny8/PTfffdpy+++EIHDhxwt+G+++5TcHCwu41NpXWQX6NcTPBEv60N/ZZ+6w39FvAm/p5uAOAt\nfvrvm3xvFB0dXWn5m2++0UMPPaStW7equLhYZWVliouLkyRlZ2frvPPOO+P9ZGVlKSEhwf3Jr8vl\nkp+fn3JzcxUZGVnptkFBQTpy5EildUVFRWp9hqIvNzdXJ0+erPZxJalTp07u3zt37qxPP/20hmdc\nswMHDqhz586V1sXExGj//v31vs/qhIWFqVWrVpUeJycnR3l5eSovL6/UjtPbMGLECD322GPKycmR\nv7+/JkyYoMcff1x79uzRiRMn1KtXL0k1/08qdOzYsdZ2VpdvTk5OpTZ27tzZ3cYhQ4Zo586dOnTo\nkHbu3KmbbrpJ8+bNU0FBgbZu3arhw4e72/j73/9e999/v7uN/v7++uGHH9znpZz++E3p6E/lHnnc\nuvBEvz0b9NuqbaDfAvbDkSGgGfj58JPp06erd+/e2r59u/bv369Zs2a5PzGNjo7Wnj17zng/0dHR\neu+995SVlaWsrCxlZ2dX+4aqZ8+e+uqrr9zLhw8f1t69e9WzZ88qt42MjFTLli2rfVxJlWaiy87O\nVocOHSRJgYGBKi4udl938ODBau+jQocOHarMbJeVleV+8xEUFKRjx46d8T7P9iTsgoICnThxotLj\nREVFKSIiQn5+ftq3b5/7un379rnbcMEFF8jpdOpPf/qTRowYoeDgYLVt21avvvqqhg0b5v6bs/mf\n1KS6fKOioirNwPXznPr166dly5apX79+cjqdGjhwoJ5++mldeOGF7iOD0dHRWr58eaU2Hjx4sNJ5\nBs315HaTPNFvzwb91kK/BeyNYghoho4eParg4GCdc8452rVrl1588UX3dePGjVN2draee+45lZSU\n6MiRI/riiy8kSb/5zW80e/Zs9w44NzfXfSLuz11zzTXavn27/v73v+vEiRNasGCBBg4ceMZPkf38\n/HTTTTfpgQce0MGDB1VeXq7PPvvMfXK4y+XSokWLdPz4cW3fvl2vv/66fv3rX0uS+vXrp3/+858q\nLCzUgQMH9Mc//rHW5z9kyBD5+/vrmWeeUWlpqdLS0vTxxx9Xus93331Xx48f1+7du/XnP/+5UlvD\nw8NrPE/hdGVlZVqwYIFOnjyptWvX6pNPPlF8fLz8/f0VHx+vRx99VD/99JO+//57rVixQv/zP//j\n/tvhw4frueeecw9bGTlyZKVlqfb/SV2GBdWU7/XXX6+FCxcqPz9feXl5Wrx4sSZOnHjWbVy8eLH+\n85//SJIKCwu1atWqOuWHU5qi30pSSUmJjh8/Lsk6Ef/0ouB09Fv6LQCKIcCr1PVTugULFui1115T\nVFSUZsyYoeuuu859XXBwsN577z2tWrVKXbt2VWxsrPsE4GnTpumXv/ylrr76anXs2FG//OUvtWXL\nljM+RmRkpF599VU9/PDD6ty5s7Zv366XXnrJff0bb7xR6ZPSRYsW6cILL9TIkSMVExOjOXPmVHpD\nMHToUPXp00fx8fG6//773WPvb775Zl1wwQXq1auXrr32Wl1//fW1Pv+WLVvqrbfe0vvvv69zzz1X\nDzzwgF566SV3oTZ9+nRJUteuXXXXXXdVehMhSbNmzdKUKVPUuXNnvf/++zU+VnR0tAIDA3X++edr\n6tSpWr58uftxnnzySbVo0UK9evXSuHHjdPPNN1d6UzVixAgdPXrU/Qbl58sVba3pf3KmbSI2Nlbv\nvPNOpXXV5Ttz5kz17dtXgwcP1rBhwzR48GDde++9VdpYMbRm5MiRVdoYHx+v6dOna9KkSerUqZOG\nDRum1atX19hGO/GmfitJffv2VWRkpPLy8jR+/HhFREQoJydHEv1Wot/W1EbAjhyuup6NCDRju3fv\nrtP4bTS+PXv2aMCAATp8+LCnm3LWUlNTNW3aNPdUu96oOecL79Wctyv6bePZv3+/unfv7ulmAEZx\nZAiAcXzmYhb5wgS2K7PIF/AOFEMAjKvPcIzvv/9eHTp0UFRUlPtSsVyXk7XratGiRZUep+L3G264\nodEewzSGu8AE+q1Z9FvAOzBMDrbAMDkAAM4Ow+RgBxwZAgAAAGBLFEOwBQ6AAgBwdth3wg4ohmAL\nQUFBysvL44UdAIBauFwu5eXlKSgoyNNNAYz7/1YTFoPGWDi6AAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb408533850>"
+ ]
+ }
+ ],
+ "prompt_number": 14
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`color` can be used to specify different colors for the lines. For instance, to make the lines dark grey and dark blue you can do:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.LinePlot([trace1, trace2],\n",
+ " trappy.cpu_power.CpuOutPower,\n",
+ " column=\"power\",\n",
+ " pivot=\"cpus\",\n",
+ " map_label=map_label,\n",
+ " colors=[[69, 69, 69], [0, 0, 0xc8]],\n",
+ " ).view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAzsAAAHjCAYAAAAE3QFEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\noEaNGnKXQVRl/heyc7yaBWY++QAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb6b614cb50>"
+ ]
+ }
+ ],
+ "prompt_number": 15
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Pivoted Data Multiple Traces and Columns"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2],\n",
+ " [trappy.cpu_power.CpuInPower, trappy.cpu_power.CpuOutPower],\n",
+ " column=[\"dynamic_power\", \"power\"],\n",
+ " pivot=\"cpus\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAz4AAAHjCAYAAADi9MoAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIYQQkgcSiQSCwSBEIlGmi0Ly1E81ArcVh/dXjAAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409a5d4d0>"
+ ]
+ }
+ ],
+ "prompt_number": 16
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Pivoted Data with filters"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot(trace1, trappy.cpu_power.CpuInPower, column=[\"dynamic_power\", \"load1\"],\n",
+ " filters={\"cdev_state\": [1, 0]}, pivot=\"cpus\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAzcAAAHjCAYAAAAe4eHKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nN3hCErVlcgAA+NDMyPZ2BqADBeIay9MHZBYAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409ebb350>"
+ ]
+ }
+ ],
+ "prompt_number": 17
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Here is the same plot using signals:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot(trace1, signals=[\"cpu_in_power:dynamic_power\", \"cpu_in_power:load1\"],\n",
+ " filters={\"cdev_state\": [1, 0]}, pivot=\"cpus\")\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAzcAAAHjCAYAAAAe4eHKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nN3hCErVlcgAA+NDMyPZ2BqADBeIay9MHZBYAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb40850ff10>"
+ ]
+ }
+ ],
+ "prompt_number": 18
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Permute Multi Trace with Multi Columns"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "The permute parameter allows to plot all the permutations of the specified traces with the specified columns. Each figure will plot the values of each column for those traces. Hence, with N traces and M columns, you will get M figures each displaying N traces."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "l = trappy.LinePlot([trace1, trace2], trappy.thermal.Thermal, column=[\"temp\", \"temp_prev\"], permute=True)\n",
+ "l.view()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA0QAAAHjCAYAAAADn99RAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nBR+tuen78PYAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fb409ef89d0>"
+ ]
+ }
+ ],
+ "prompt_number": 19
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+}
diff --git a/doc/Stats.ipynb b/doc/Stats.ipynb
new file mode 100644
index 0000000..762031c
--- /dev/null
+++ b/doc/Stats.ipynb
@@ -0,0 +1,904 @@
+{
+ "metadata": {
+ "kernelspec": {
+ "display_name": "Python 2",
+ "language": "python",
+ "name": "python2"
+ },
+ "language_info": {
+ "codemirror_mode": {
+ "name": "ipython",
+ "version": 2
+ },
+ "file_extension": ".py",
+ "mimetype": "text/x-python",
+ "name": "python",
+ "nbconvert_exporter": "python",
+ "pygments_lexer": "ipython2",
+ "version": "2.7.6"
+ },
+ "name": "",
+ "signature": "sha256:dcfeb99f9e93abe777bae0325930f56f8d0c34764f8fdecbc280d30c969954ba"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Creating a Trace Object"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline \n",
+ "\n",
+ "import trappy\n",
+ "from matplotlib import pyplot as plt\n",
+ "\n",
+ "trace = trappy.FTrace(\"./trace_stats.dat\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "output_type": "stream",
+ "stream": "stdout",
+ "text": [
+ "Populating the interactive namespace from numpy and matplotlib\n"
+ ]
+ }
+ ],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "View the trace"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "# Execute to view it\n",
+ "trappy.plotter.plot_trace(trace)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<style>\n",
+ "/*\n",
+ "\n",
+ " * Copyright 2015-2015 ARM Limited\n",
+ "\n",
+ " *\n",
+ "\n",
+ " * Licensed under the Apache License, Version 2.0 (the \"License\");\n",
+ "\n",
+ " * you may not use this file except in compliance with the License.\n",
+ "\n",
+ " * You may obtain a copy of the License at\n",
+ "\n",
+ " *\n",
+ "\n",
+ " * http://www.apache.org/licenses/LICENSE-2.0\n",
+ "\n",
+ " *\n",
+ "\n",
+ " * Unless required by applicable law or agreed to in writing, software\n",
+ "\n",
+ " * distributed under the License is distributed on an \"AS IS\" BASIS,\n",
+ "\n",
+ " * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n",
+ "\n",
+ " * See the License for the specific language governing permissions and\n",
+ "\n",
+ " * limitations under the License.\n",
+ "\n",
+ " */\n",
+ "\n",
+ "\n",
+ "\n",
+ ".d3-tip {\n",
+ "\n",
+ " line-height: 1;\n",
+ "\n",
+ " padding: 12px;\n",
+ "\n",
+ " background: rgba(0, 0, 0, 0.6);\n",
+ "\n",
+ " color: #fff;\n",
+ "\n",
+ " border-radius: 2px;\n",
+ "\n",
+ " position: absolute !important;\n",
+ "\n",
+ " z-index: 99999;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".d3-tip:after {\n",
+ "\n",
+ " box-sizing: border-box;\n",
+ "\n",
+ " pointer-events: none;\n",
+ "\n",
+ " display: inline;\n",
+ "\n",
+ " font-size: 10px;\n",
+ "\n",
+ " width: 100%;\n",
+ "\n",
+ " line-height: 1;\n",
+ "\n",
+ " color: rgba(0, 0, 0, 0.6);\n",
+ "\n",
+ " content: \"\\25BC\";\n",
+ "\n",
+ " position: absolute !important;\n",
+ "\n",
+ " z-index: 99999;\n",
+ "\n",
+ " text-align: center;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".d3-tip.n:after {\n",
+ "\n",
+ " margin: -1px 0 0 0;\n",
+ "\n",
+ " top: 100%;\n",
+ "\n",
+ " left: 0;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".contextRect {\n",
+ "\n",
+ " fill: lightgray;\n",
+ "\n",
+ " fill-opacity: 0.5;\n",
+ "\n",
+ " stroke: black;\n",
+ "\n",
+ " stroke-width: 1;\n",
+ "\n",
+ " stroke-opacity: 1;\n",
+ "\n",
+ " pointer-events: none;\n",
+ "\n",
+ " shape-rendering: crispEdges;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".chart {\n",
+ "\n",
+ " shape-rendering: crispEdges;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".mini text {\n",
+ "\n",
+ " font: 9px sans-serif;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".main text {\n",
+ "\n",
+ " font: 12px sans-serif;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".axis line, .axis path {\n",
+ "\n",
+ " stroke: black;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".miniItem {\n",
+ "\n",
+ " stroke-width: 8;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "\n",
+ "\n",
+ ".brush .extent {\n",
+ "\n",
+ "\n",
+ "\n",
+ " stroke: #000;\n",
+ "\n",
+ " fill-opacity: .125;\n",
+ "\n",
+ " shape-rendering: crispEdges;\n",
+ "\n",
+ "}\n",
+ "\n",
+ "</style>\n",
+ "<div id=\"fig_7fd921020f474531a31bab1d2f121748\" class=\"eventplot\">\n",
+ " <script>\n",
+ " var req = require.config( {\n",
+ "\n",
+ " paths: {\n",
+ "\n",
+ " \"EventPlot\": '/static/plotter_scripts/EventPlot/EventPlot',\n",
+ " \"d3-tip\": '/static/plotter_scripts/EventPlot/d3.tip.v0.6.3',\n",
+ " \"d3-plotter\": '/static/plotter_scripts/EventPlot/d3.min'\n",
+ " },\n",
+ " shim: {\n",
+ " \"d3-plotter\" : {\n",
+ " \"exports\" : \"d3\"\n",
+ " },\n",
+ " \"d3-tip\": [\"d3-plotter\"],\n",
+ " \"EventPlot\": {\n",
+ "\n",
+ " \"deps\": [\"d3-tip\", \"d3-plotter\" ],\n",
+ " \"exports\": \"EventPlot\"\n",
+ " }\n",
+ " }\n",
+ " });\n",
+ " req([\"require\", \"EventPlot\"], function() {\n",
+ " EventPlot.generate('fig_7fd921020f474531a31bab1d2f121748', '/static/');\n",
+ " });\n",
+ " </script>\n",
+ " </div>"
+ ],
+ "metadata": {},
+ "output_type": "display_data",
+ "text": [
+ "<IPython.core.display.HTML at 0x7f3e12d29e10>"
+ ]
+ }
+ ],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "What is a Trigger?"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "A trigger is the combination of the following:\n",
+ "\n",
+ " * A TRAPpy event\n",
+ " * A pivot for the event\n",
+ " * A set of filters\n",
+ " * A value"
+ ]
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Introduction to Triggers "
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "* The example below explains how to create a trigger for the event when a particular process is switched in or out.\n",
+ "\n",
+ "* This uses the <code>trappy.sched.SchedSwitch</code> event in the trace object. This event looks for the unique_word <code>sched_switch</code> in the trace file.\n",
+ "\n",
+ "* Here is sample line in the text trace that corresponds to the <code>SchedSwitch<code> event.\n",
+ "\n",
+ "<pre>\n",
+ "sched_switch: prev_comm=trace-cmd prev_pid=4731 prev_prio=120 prev_state=1 next_comm=trace-cmd next_pid=4730 next_prio=120\n",
+ "</pre>"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "We can see that this event is populated in the above trace object as:"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trace.sched_switch.data_frame.head()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "html": [
+ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n",
+ "<table border=\"1\" class=\"dataframe\">\n",
+ " <thead>\n",
+ " <tr style=\"text-align: right;\">\n",
+ " <th></th>\n",
+ " <th>__comm</th>\n",
+ " <th>__cpu</th>\n",
+ " <th>__pid</th>\n",
+ " <th>next_comm</th>\n",
+ " <th>next_pid</th>\n",
+ " <th>next_prio</th>\n",
+ " <th>prev_comm</th>\n",
+ " <th>prev_pid</th>\n",
+ " <th>prev_prio</th>\n",
+ " <th>prev_state</th>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>Time</th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " <th></th>\n",
+ " </tr>\n",
+ " </thead>\n",
+ " <tbody>\n",
+ " <tr>\n",
+ " <th>0.000000</th>\n",
+ " <td>ls</td>\n",
+ " <td>2</td>\n",
+ " <td>4734</td>\n",
+ " <td>migration/2</td>\n",
+ " <td>18</td>\n",
+ " <td>0</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4734</td>\n",
+ " <td>120</td>\n",
+ " <td>1024</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.000022</th>\n",
+ " <td>migration/2</td>\n",
+ " <td>2</td>\n",
+ " <td>18</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4732</td>\n",
+ " <td>120</td>\n",
+ " <td>migration/2</td>\n",
+ " <td>18</td>\n",
+ " <td>0</td>\n",
+ " <td>1</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.000107</th>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>1</td>\n",
+ " <td>4731</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4730</td>\n",
+ " <td>120</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4731</td>\n",
+ " <td>120</td>\n",
+ " <td>1</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.000127</th>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>1</td>\n",
+ " <td>4730</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4729</td>\n",
+ " <td>120</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4730</td>\n",
+ " <td>120</td>\n",
+ " <td>1</td>\n",
+ " </tr>\n",
+ " <tr>\n",
+ " <th>0.000142</th>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>1</td>\n",
+ " <td>4729</td>\n",
+ " <td>swapper/1</td>\n",
+ " <td>0</td>\n",
+ " <td>120</td>\n",
+ " <td>trace-cmd</td>\n",
+ " <td>4729</td>\n",
+ " <td>120</td>\n",
+ " <td>1</td>\n",
+ " </tr>\n",
+ " </tbody>\n",
+ "</table>\n",
+ "</div>"
+ ],
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 3,
+ "text": [
+ " __comm __cpu __pid next_comm next_pid next_prio \\\n",
+ "Time \n",
+ "0.000000 ls 2 4734 migration/2 18 0 \n",
+ "0.000022 migration/2 2 18 trace-cmd 4732 120 \n",
+ "0.000107 trace-cmd 1 4731 trace-cmd 4730 120 \n",
+ "0.000127 trace-cmd 1 4730 trace-cmd 4729 120 \n",
+ "0.000142 trace-cmd 1 4729 swapper/1 0 120 \n",
+ "\n",
+ " prev_comm prev_pid prev_prio prev_state \n",
+ "Time \n",
+ "0.000000 trace-cmd 4734 120 1024 \n",
+ "0.000022 migration/2 18 0 1 \n",
+ "0.000107 trace-cmd 4731 120 1 \n",
+ "0.000127 trace-cmd 4730 120 1 \n",
+ "0.000142 trace-cmd 4729 120 1 "
+ ]
+ }
+ ],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Now, let us suppose we want to create a trigger for the event when the task <code>trace-cmd (pid=4729)</code>\n",
+ "is switched in and assign a value of 1 to the Trigger signal. In pseudo code:\n",
+ "\n",
+ " if next_pid == 4729:\n",
+ " Trigger_In(t) = 1\n",
+ "\n",
+ "We can similarly create a Trigger for the event when the task is switched out:\n",
+ "\n",
+ "\n",
+ " if prev_pid == 4729:\n",
+ " Trigger_Out(t) = -1\n",
+ " \n",
+ "A pivot is the column along which the data is orthogonal. If the data is pivotable it is possibly a super-position of smaller data elements corresponding to each unique pivot value. In our case the pivot value is <code>\"__cpu\"</code> and the data can be split into the scheduler switches happening on the different CPUs"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "from trappy.stats.Trigger import Trigger\n",
+ "\n",
+ "task_pid = 4729\n",
+ "\n",
+ "trigger_switch_in = Trigger(trace, \n",
+ " trappy.sched.SchedSwitch,\n",
+ " pivot = \"__cpu\",\n",
+ " filters = {\n",
+ " \"next_pid\" : task_pid\n",
+ " },\n",
+ " value = 1)\n",
+ "\n",
+ "trigger_switch_out = Trigger(trace, \n",
+ " trappy.sched.SchedSwitch,\n",
+ " pivot = \"__cpu\",\n",
+ " filters = {\n",
+ " \"prev_pid\" : task_pid\n",
+ " },\n",
+ " value = -1)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Topology and Aggregation"
+ ]
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Creating a CPU (pivot) Topology"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "A topology can be explained as a collection of different arrangements of groups of nodes. These different arrangements are called **levels**. Each level has a multiple groups of nodes. For example for a CPU topology can have the following levels:\n",
+ "\n",
+ "* CPU\n",
+ "\n",
+ " [\n",
+ " [cpu0],\n",
+ " [cpu1],\n",
+ " .\n",
+ " .\n",
+ " [cpuN],\n",
+ " ]\n",
+ "\n",
+ "* Cluster\n",
+ "\n",
+ " [\n",
+ " [custer1_cpu1, cluster1_cpu2, ... cluster1_cpuM)],\n",
+ " .\n",
+ " .\n",
+ " .\n",
+ " [custerK_cpu1, clusterK_cpu2, ... clusterK_cpuP)]\n",
+ " ]\n",
+ "\n",
+ "* System\n",
+ "\n",
+ " [\n",
+ " [cpu0,\n",
+ " cpu1,\n",
+ " .\n",
+ " .\n",
+ " .\n",
+ " cpuN]\n",
+ " ]"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "from trappy.stats.Topology import Topology\n",
+ "\n",
+ "cluster_0 = [0, 3, 4, 5]\n",
+ "cluster_1 = [1, 2]\n",
+ "clusters = [cluster_0, cluster_1]\n",
+ "\n",
+ "topology = Topology(clusters=clusters)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "* Aggregator facilitates the aggregation of signals from different triggers. The aggregator also understands a topology over which the aggregation can be performed and this aggregation can be expressed as a function which takes <code>pandas.Series</code> as an input. For example.\n",
+ "\n",
+ "\n",
+ " def aggfunc(series):\n",
+ " return modify(series)\n",
+ " \n",
+ "\n",
+ "* The elements in the topology should be a superset of the pivot values of the Triggers. In our case the pivot value is <code>\"__cpu\"</code>, so, the Topology corresponds to a CPU topology. \n",
+ "\n",
+ "### Base Aggregation\n",
+ "\n",
+ "* Topology.flatten() gives the list of all the nodes in the Topology.\n",
+ "* The node in the Topology corresponds to a pivot value in the Trigger\n",
+ "* The signals for the pivot value are super imposed and stored in a dictionary."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "topology.flatten()"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 6,
+ "text": [
+ "[0, 1, 2, 3, 4, 5]"
+ ]
+ }
+ ],
+ "prompt_number": 6
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Here is an informal pseudo-code for this base aggregation:\n",
+ "\n",
+ "\n",
+ " base_signals = {}\n",
+ " \n",
+ " for node in topology.flatten():\n",
+ " node_signal = init_signal()\n",
+ " \n",
+ " for trigger in triggers:\n",
+ " node_signal.union(trigger.get_signal_for_pivot_val(node))\n",
+ " \n",
+ " base_signal[node] = node_signal\n",
+ " \n",
+ "### Aggregation over the topology\n",
+ "\n",
+ "\n",
+ "The `Aggregator.aggregate` accepts a level parameter:\n",
+ "\n",
+ "* Each level in the topology contains a group of nodes\n",
+ "* These can be accessed as\n",
+ " \n",
+ " topology.get_level(level)"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "topology.get_level(\"cluster\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "pyout",
+ "prompt_number": 7,
+ "text": [
+ "[[0, 3, 4, 5], [1, 2]]"
+ ]
+ }
+ ],
+ "prompt_number": 7
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "Here is an informal psuedo-code for this aggregation over the Topology:\n",
+ "\n",
+ " agg_signal = []\n",
+ " \n",
+ " for group_of_nodes in Topology.get_level(level):\n",
+ " \n",
+ " for node in group_of_nodes:\n",
+ " \n",
+ " group_signal = init_signal()\n",
+ " group_signal += aggfunc(base_signals[node])\n",
+ " \n",
+ " agg_signal.append(group_signal)\n",
+ " \n",
+ " "
+ ]
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Create the Aggregator"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": true,
+ "input": [
+ "from trappy.stats.Aggregator import MultiTriggerAggregator\n",
+ "\n",
+ "def no_operation_aggfunc(series):\n",
+ " return series\n",
+ "\n",
+ "triggers = [\n",
+ " trigger_switch_in,\n",
+ " trigger_switch_out\n",
+ " ]\n",
+ "\n",
+ "\n",
+ "vector_agg = MultiTriggerAggregator(triggers, topology, no_operation_aggfunc)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 8
+ },
+ {
+ "cell_type": "heading",
+ "level": 2,
+ "metadata": {},
+ "source": [
+ "Examples of Aggregation"
+ ]
+ },
+ {
+ "cell_type": "heading",
+ "level": 3,
+ "metadata": {},
+ "source": [
+ "Aggregate at Cluster level"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "level = \"cluster\"\n",
+ "result = vector_agg.aggregate(level=level)\n",
+ "\n",
+ "\n",
+ "# Utility Code for Viewing the data\n",
+ "\n",
+ "clusters = (str(c) for c in topology.get_level(level))\n",
+ "\n",
+ "for series, cluster in zip(result, clusters):\n",
+ " plt.figure(figsize=(15,7))\n",
+ " plt.plot(series.index, series.values)\n",
+ " plt.title(cluster)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA4UAAAGxCAYAAADVpBMyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e12ce7450>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA34AAAGxCAYAAAA9CGRcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n0KFDhw4dOnTo0KFDhw4dOnToEKD/D7MnztOKhRiGAAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e12b81b90>"
+ ]
+ }
+ ],
+ "prompt_number": 9
+ },
+ {
+ "cell_type": "heading",
+ "level": 3,
+ "metadata": {},
+ "source": [
+ "Aggregate at CPU level"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "level = \"cpu\"\n",
+ "result = vector_agg.aggregate(level=level)\n",
+ "\n",
+ "\n",
+ "# Utility Code for Viewing the data\n",
+ "\n",
+ "cpus = (str(c) for c in topology.get_level(level))\n",
+ "\n",
+ "for series, cpu in zip(result, cpus):\n",
+ " plt.figure(figsize=(15,7))\n",
+ " plt.plot(series.index, series.values)\n",
+ " plt.title(cpu)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA4UAAAGxCAYAAADVpBMyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e1265bb90>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA34AAAGxCAYAAAA9CGRcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e12b81750>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA4UAAAGxCAYAAADVpBMyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAAAAAAAAGNT/BwtU2n+9MndhAAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e128a9590>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA4UAAAGxCAYAAADVpBMyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e128a9a10>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA4UAAAGxCAYAAADVpBMyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e12a7d4d0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAA4UAAAGxCAYAAADVpBMyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAAAAAAAAAAAAAAAAAAb1/wGeqc0gezrGiwAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7f3e12a611d0>"
+ ]
+ }
+ ],
+ "prompt_number": 10
+ },
+ {
+ "cell_type": "heading",
+ "level": 3,
+ "metadata": {},
+ "source": [
+ "Aggregator Function can return Scalars"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "An aggregator function can return a scalar, for example the number of \"switch ins\". Each value in the result has a one-to-one correspondence with the groups in `Topology.get_level(level)`"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "def num_switch_ins(series):\n",
+ " return len(series[series == 1])\n",
+ "\n",
+ "scalar_agg = MultiTriggerAggregator(triggers, topology, num_switch_ins)\n",
+ "\n",
+ "print scalar_agg.aggregate(level=\"cpu\")\n",
+ "print scalar_agg.aggregate(level=\"cluster\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "output_type": "stream",
+ "stream": "stdout",
+ "text": [
+ "[0, 364, 0, 0, 0, 0]\n",
+ "[0, 364]\n"
+ ]
+ }
+ ],
+ "prompt_number": 11
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/api_reference/.gitignore b/doc/api_reference/.gitignore
new file mode 100644
index 0000000..588039e
--- /dev/null
+++ b/doc/api_reference/.gitignore
@@ -0,0 +1,3 @@
+_build
+*.rst
+!index.rst
diff --git a/doc/api_reference/Makefile b/doc/api_reference/Makefile
new file mode 100644
index 0000000..f219a85
--- /dev/null
+++ b/doc/api_reference/Makefile
@@ -0,0 +1,196 @@
+# Makefile for Sphinx documentation
+#
+
+# You can set these variables from the command line.
+SPHINXOPTS =
+SPHINXBUILD = sphinx-build
+PAPER =
+BUILDDIR = _build
+
+# User-friendly check for sphinx-build
+ifeq ($(shell which $(SPHINXBUILD) >/dev/null 2>&1; echo $$?), 1)
+$(error The '$(SPHINXBUILD)' command was not found. Make sure you have Sphinx installed, then set the SPHINXBUILD environment variable to point to the full path of the '$(SPHINXBUILD)' executable. Alternatively you can add the directory with the executable to your PATH. If you don't have Sphinx installed, grab it from http://sphinx-doc.org/)
+endif
+
+# Internal variables.
+PAPEROPT_a4 = -D latex_paper_size=a4
+PAPEROPT_letter = -D latex_paper_size=letter
+ALLSPHINXOPTS = -d $(BUILDDIR)/doctrees $(PAPEROPT_$(PAPER)) $(SPHINXOPTS) .
+# the i18n builder cannot share the environment and doctrees with the others
+I18NSPHINXOPTS = $(PAPEROPT_$(PAPER)) $(SPHINXOPTS) .
+
+.PHONY: help clean html dirhtml singlehtml pickle json htmlhelp qthelp devhelp epub latex latexpdf text man changes linkcheck doctest coverage gettext
+
+help:
+ @echo "Please use \`make <target>' where <target> is one of"
+ @echo " html to make standalone HTML files"
+ @echo " dirhtml to make HTML files named index.html in directories"
+ @echo " singlehtml to make a single large HTML file"
+ @echo " pickle to make pickle files"
+ @echo " json to make JSON files"
+ @echo " htmlhelp to make HTML files and a HTML help project"
+ @echo " qthelp to make HTML files and a qthelp project"
+ @echo " applehelp to make an Apple Help Book"
+ @echo " devhelp to make HTML files and a Devhelp project"
+ @echo " epub to make an epub"
+ @echo " latex to make LaTeX files, you can set PAPER=a4 or PAPER=letter"
+ @echo " latexpdf to make LaTeX files and run them through pdflatex"
+ @echo " latexpdfja to make LaTeX files and run them through platex/dvipdfmx"
+ @echo " text to make text files"
+ @echo " man to make manual pages"
+ @echo " texinfo to make Texinfo files"
+ @echo " info to make Texinfo files and run them through makeinfo"
+ @echo " gettext to make PO message catalogs"
+ @echo " changes to make an overview of all changed/added/deprecated items"
+ @echo " xml to make Docutils-native XML files"
+ @echo " pseudoxml to make pseudoxml-XML files for display purposes"
+ @echo " linkcheck to check all external links for integrity"
+ @echo " doctest to run all doctests embedded in the documentation (if enabled)"
+ @echo " coverage to run coverage check of the documentation (if enabled)"
+
+clean:
+ rm -rf $(BUILDDIR)/*
+ ls *.rst | grep -v index.rst | xargs rm -f
+
+reference:
+ sphinx-apidoc -f -e -o . ../../trappy
+
+html: reference
+ $(SPHINXBUILD) -b html $(ALLSPHINXOPTS) $(BUILDDIR)/html
+ @echo
+ @echo "Build finished. The HTML pages are in $(BUILDDIR)/html."
+
+dirhtml: reference
+ $(SPHINXBUILD) -b dirhtml $(ALLSPHINXOPTS) $(BUILDDIR)/dirhtml
+ @echo
+ @echo "Build finished. The HTML pages are in $(BUILDDIR)/dirhtml."
+
+singlehtml: reference
+ $(SPHINXBUILD) -b singlehtml $(ALLSPHINXOPTS) $(BUILDDIR)/singlehtml
+ @echo
+ @echo "Build finished. The HTML page is in $(BUILDDIR)/singlehtml."
+
+pickle: reference
+ $(SPHINXBUILD) -b pickle $(ALLSPHINXOPTS) $(BUILDDIR)/pickle
+ @echo
+ @echo "Build finished; now you can process the pickle files."
+
+json: reference
+ $(SPHINXBUILD) -b json $(ALLSPHINXOPTS) $(BUILDDIR)/json
+ @echo
+ @echo "Build finished; now you can process the JSON files."
+
+htmlhelp: reference
+ $(SPHINXBUILD) -b htmlhelp $(ALLSPHINXOPTS) $(BUILDDIR)/htmlhelp
+ @echo
+ @echo "Build finished; now you can run HTML Help Workshop with the" \
+ ".hhp project file in $(BUILDDIR)/htmlhelp."
+
+qthelp: reference
+ $(SPHINXBUILD) -b qthelp $(ALLSPHINXOPTS) $(BUILDDIR)/qthelp
+ @echo
+ @echo "Build finished; now you can run "qcollectiongenerator" with the" \
+ ".qhcp project file in $(BUILDDIR)/qthelp, like this:"
+ @echo "# qcollectiongenerator $(BUILDDIR)/qthelp/TRAPpy.qhcp"
+ @echo "To view the help file:"
+ @echo "# assistant -collectionFile $(BUILDDIR)/qthelp/TRAPpy.qhc"
+
+applehelp: reference
+ $(SPHINXBUILD) -b applehelp $(ALLSPHINXOPTS) $(BUILDDIR)/applehelp
+ @echo
+ @echo "Build finished. The help book is in $(BUILDDIR)/applehelp."
+ @echo "N.B. You won't be able to view it unless you put it in" \
+ "~/Library/Documentation/Help or install it in your application" \
+ "bundle."
+
+devhelp: reference
+ $(SPHINXBUILD) -b devhelp $(ALLSPHINXOPTS) $(BUILDDIR)/devhelp
+ @echo
+ @echo "Build finished."
+ @echo "To view the help file:"
+ @echo "# mkdir -p $$HOME/.local/share/devhelp/TRAPpy"
+ @echo "# ln -s $(BUILDDIR)/devhelp $$HOME/.local/share/devhelp/TRAPpy"
+ @echo "# devhelp"
+
+epub: reference
+ $(SPHINXBUILD) -b epub $(ALLSPHINXOPTS) $(BUILDDIR)/epub
+ @echo
+ @echo "Build finished. The epub file is in $(BUILDDIR)/epub."
+
+latex: reference
+ $(SPHINXBUILD) -b latex $(ALLSPHINXOPTS) $(BUILDDIR)/latex
+ @echo
+ @echo "Build finished; the LaTeX files are in $(BUILDDIR)/latex."
+ @echo "Run \`make' in that directory to run these through (pdf)latex" \
+ "(use \`make latexpdf' here to do that automatically)."
+
+latexpdf: reference
+ $(SPHINXBUILD) -b latex $(ALLSPHINXOPTS) $(BUILDDIR)/latex
+ @echo "Running LaTeX files through pdflatex..."
+ $(MAKE) -C $(BUILDDIR)/latex all-pdf
+ @echo "pdflatex finished; the PDF files are in $(BUILDDIR)/latex."
+
+latexpdfja: reference
+ $(SPHINXBUILD) -b latex $(ALLSPHINXOPTS) $(BUILDDIR)/latex
+ @echo "Running LaTeX files through platex and dvipdfmx..."
+ $(MAKE) -C $(BUILDDIR)/latex all-pdf-ja
+ @echo "pdflatex finished; the PDF files are in $(BUILDDIR)/latex."
+
+text: reference
+ $(SPHINXBUILD) -b text $(ALLSPHINXOPTS) $(BUILDDIR)/text
+ @echo
+ @echo "Build finished. The text files are in $(BUILDDIR)/text."
+
+man: reference
+ $(SPHINXBUILD) -b man $(ALLSPHINXOPTS) $(BUILDDIR)/man
+ @echo
+ @echo "Build finished. The manual pages are in $(BUILDDIR)/man."
+
+texinfo: reference
+ $(SPHINXBUILD) -b texinfo $(ALLSPHINXOPTS) $(BUILDDIR)/texinfo
+ @echo
+ @echo "Build finished. The Texinfo files are in $(BUILDDIR)/texinfo."
+ @echo "Run \`make' in that directory to run these through makeinfo" \
+ "(use \`make info' here to do that automatically)."
+
+info: reference
+ $(SPHINXBUILD) -b texinfo $(ALLSPHINXOPTS) $(BUILDDIR)/texinfo
+ @echo "Running Texinfo files through makeinfo..."
+ make -C $(BUILDDIR)/texinfo info
+ @echo "makeinfo finished; the Info files are in $(BUILDDIR)/texinfo."
+
+gettext: reference
+ $(SPHINXBUILD) -b gettext $(I18NSPHINXOPTS) $(BUILDDIR)/locale
+ @echo
+ @echo "Build finished. The message catalogs are in $(BUILDDIR)/locale."
+
+changes: reference
+ $(SPHINXBUILD) -b changes $(ALLSPHINXOPTS) $(BUILDDIR)/changes
+ @echo
+ @echo "The overview file is in $(BUILDDIR)/changes."
+
+linkcheck: reference
+ $(SPHINXBUILD) -b linkcheck $(ALLSPHINXOPTS) $(BUILDDIR)/linkcheck
+ @echo
+ @echo "Link check complete; look for any errors in the above output " \
+ "or in $(BUILDDIR)/linkcheck/output.txt."
+
+doctest: reference
+ $(SPHINXBUILD) -b doctest $(ALLSPHINXOPTS) $(BUILDDIR)/doctest
+ @echo "Testing of doctests in the sources finished, look at the " \
+ "results in $(BUILDDIR)/doctest/output.txt."
+
+coverage: reference
+ $(SPHINXBUILD) -b coverage $(ALLSPHINXOPTS) $(BUILDDIR)/coverage
+ @echo "Testing of coverage in the sources finished, look at the " \
+ "results in $(BUILDDIR)/coverage/python.txt."
+
+xml: reference
+ $(SPHINXBUILD) -b xml $(ALLSPHINXOPTS) $(BUILDDIR)/xml
+ @echo
+ @echo "Build finished. The XML files are in $(BUILDDIR)/xml."
+
+pseudoxml: reference
+ $(SPHINXBUILD) -b pseudoxml $(ALLSPHINXOPTS) $(BUILDDIR)/pseudoxml
+ @echo
+ @echo "Build finished. The pseudo-XML files are in $(BUILDDIR)/pseudoxml."
diff --git a/doc/api_reference/conf.py b/doc/api_reference/conf.py
new file mode 100644
index 0000000..ea2c909
--- /dev/null
+++ b/doc/api_reference/conf.py
@@ -0,0 +1,381 @@
+# -*- coding: utf-8 -*-
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+# TRAPpy documentation build configuration file, created by
+# sphinx-quickstart on Fri Sep 4 11:30:35 2015.
+#
+# This file is execfile()d with the current directory set to its
+# containing dir.
+#
+# Note that not all possible configuration values are present in this
+# autogenerated file.
+#
+# All configuration values have a default; values that are commented out
+# serve to show the default.
+
+import sys
+import os
+import shlex
+
+this_dir = os.path.dirname(__file__)
+sys.path.insert(0, os.path.join(this_dir, '../..'))
+import trappy
+
+# If extensions (or modules to document with autodoc) are in another directory,
+# add these directories to sys.path here. If the directory is relative to the
+# documentation root, use os.path.abspath to make it absolute, like shown here.
+#sys.path.insert(0, os.path.abspath('.'))
+
+# -- General configuration ------------------------------------------------
+
+# If your documentation needs a minimal Sphinx version, state it here.
+#needs_sphinx = '1.0'
+
+# Add any Sphinx extension module names here, as strings. They can be
+# extensions coming with Sphinx (named 'sphinx.ext.*') or your custom
+# ones.
+extensions = [
+ 'sphinx.ext.autodoc',
+ 'sphinx.ext.todo',
+ 'sphinx.ext.coverage',
+ 'sphinx.ext.mathjax',
+ 'sphinx.ext.ifconfig',
+ 'sphinx.ext.viewcode']
+
+# Update MathJax path to use the cdnjs using HTTPS
+mathjax_path = "https://cdn.mathjax.org/mathjax/latest/MathJax.js?config=TeX-AMS-MML_HTMLorMML"
+
+# Add any paths that contain templates here, relative to this directory.
+templates_path = ['_templates']
+
+# The suffix(es) of source filenames.
+# You can specify multiple suffix as a list of string:
+# source_suffix = ['.rst', '.md']
+source_suffix = '.rst'
+
+# The encoding of source files.
+#source_encoding = 'utf-8-sig'
+
+# The master toctree document.
+master_doc = 'index'
+
+# General information about the project.
+project = u'TRAPpy'
+copyright = u'2017, ARM Ltd.'
+author = u'Javi Merino, Kapileshwar Singh(KP)'
+
+# The version info for the project you're documenting, acts as replacement for
+# |version| and |release|, also used in various other places throughout the
+# built documents.
+#
+# The short X.Y version. Drop everything after the last "."
+version = trappy.__version__[:trappy.__version__.rindex(".")]
+# The full version, including alpha/beta/rc tags.
+release = trappy.__version__
+
+# The language for content autogenerated by Sphinx. Refer to documentation
+# for a list of supported languages.
+#
+# This is also used if you do content translation via gettext catalogs.
+# Usually you set "language" from the command line for these cases.
+language = 'en'
+
+# There are two options for replacing |today|: either, you set today to some
+# non-false value, then it is used:
+#today = ''
+# Else, today_fmt is used as the format for a strftime call.
+#today_fmt = '%B %d, %Y'
+
+# List of patterns, relative to source directory, that match files and
+# directories to ignore when looking for source files.
+exclude_patterns = ['_build']
+
+# The reST default role (used for this markup: `text`) to use for all
+# documents.
+#default_role = None
+
+# If true, '()' will be appended to :func: etc. cross-reference text.
+#add_function_parentheses = True
+
+# If true, the current module name will be prepended to all description
+# unit titles (such as .. function::).
+#add_module_names = True
+
+# If true, sectionauthor and moduleauthor directives will be shown in the
+# output. They are ignored by default.
+#show_authors = False
+
+# The name of the Pygments (syntax highlighting) style to use.
+pygments_style = 'sphinx'
+
+# A list of ignored prefixes for module index sorting.
+#modindex_common_prefix = []
+
+# If true, keep warnings as "system message" paragraphs in the built documents.
+#keep_warnings = False
+
+# If true, `todo` and `todoList` produce output, else they produce nothing.
+todo_include_todos = True
+
+
+# -- Options for HTML output ----------------------------------------------
+
+# The theme to use for HTML and HTML Help pages. See the documentation for
+# a list of builtin themes.
+html_theme = 'classic'
+
+# Theme options are theme-specific and customize the look and feel of a theme
+# further. For a list of options available for each theme, see the
+# documentation.
+#html_theme_options = {}
+
+# Add any paths that contain custom themes here, relative to this directory.
+#html_theme_path = []
+
+# The name for this set of Sphinx documents. If None, it defaults to
+# "<project> v<release> documentation".
+#html_title = None
+
+# A shorter title for the navigation bar. Default is the same as html_title.
+#html_short_title = None
+
+# The name of an image file (relative to this directory) to place at the top
+# of the sidebar.
+#html_logo = None
+
+# The name of an image file (within the static path) to use as favicon of the
+# docs. This file should be a Windows icon file (.ico) being 16x16 or 32x32
+# pixels large.
+#html_favicon = None
+
+# Add any paths that contain custom static files (such as style sheets) here,
+# relative to this directory. They are copied after the builtin static files,
+# so a file named "default.css" will overwrite the builtin "default.css".
+html_static_path = ['_static']
+
+# Add any extra paths that contain custom files (such as robots.txt or
+# .htaccess) here, relative to this directory. These files are copied
+# directly to the root of the documentation.
+#html_extra_path = []
+
+# If not '', a 'Last updated on:' timestamp is inserted at every page bottom,
+# using the given strftime format.
+#html_last_updated_fmt = '%b %d, %Y'
+
+# If true, SmartyPants will be used to convert quotes and dashes to
+# typographically correct entities.
+#html_use_smartypants = True
+
+# Custom sidebar templates, maps document names to template names.
+#html_sidebars = {}
+
+# Additional templates that should be rendered to pages, maps page names to
+# template names.
+#html_additional_pages = {}
+
+# If false, no module index is generated.
+#html_domain_indices = True
+
+# If false, no index is generated.
+#html_use_index = True
+
+# If true, the index is split into individual pages for each letter.
+#html_split_index = False
+
+# If true, links to the reST sources are added to the pages.
+#html_show_sourcelink = True
+
+# If true, "Created using Sphinx" is shown in the HTML footer. Default is True.
+#html_show_sphinx = True
+
+# If true, "(C) Copyright ..." is shown in the HTML footer. Default is True.
+#html_show_copyright = True
+
+# If true, an OpenSearch description file will be output, and all pages will
+# contain a <link> tag referring to it. The value of this option must be the
+# base URL from which the finished HTML is served.
+#html_use_opensearch = ''
+
+# This is the file name suffix for HTML files (e.g. ".xhtml").
+#html_file_suffix = None
+
+# Language to be used for generating the HTML full-text search index.
+# Sphinx supports the following languages:
+# 'da', 'de', 'en', 'es', 'fi', 'fr', 'hu', 'it', 'ja'
+# 'nl', 'no', 'pt', 'ro', 'ru', 'sv', 'tr'
+#html_search_language = 'en'
+
+# A dictionary with options for the search language support, empty by default.
+# Now only 'ja' uses this config value
+#html_search_options = {'type': 'default'}
+
+# The name of a javascript file (relative to the configuration directory) that
+# implements a search results scorer. If empty, the default will be used.
+#html_search_scorer = 'scorer.js'
+
+# Output file base name for HTML help builder.
+htmlhelp_basename = 'TRAPpydoc'
+
+# -- Options for LaTeX output ---------------------------------------------
+
+latex_elements = {
+# The paper size ('letterpaper' or 'a4paper').
+#'papersize': 'letterpaper',
+
+# The font size ('10pt', '11pt' or '12pt').
+#'pointsize': '10pt',
+
+# Additional stuff for the LaTeX preamble.
+#'preamble': '',
+
+# Latex figure (float) alignment
+#'figure_align': 'htbp',
+}
+
+# Grouping the document tree into LaTeX files. List of tuples
+# (source start file, target name, title,
+# author, documentclass [howto, manual, or own class]).
+latex_documents = [
+ (master_doc, 'TRAPpy.tex', u'TRAPpy Documentation',
+ u'Javi Merino, Kapileshwar Singh(KP)', 'manual'),
+]
+
+# The name of an image file (relative to this directory) to place at the top of
+# the title page.
+#latex_logo = None
+
+# For "manual" documents, if this is true, then toplevel headings are parts,
+# not chapters.
+#latex_use_parts = False
+
+# If true, show page references after internal links.
+#latex_show_pagerefs = False
+
+# If true, show URL addresses after external links.
+#latex_show_urls = False
+
+# Documents to append as an appendix to all manuals.
+#latex_appendices = []
+
+# If false, no module index is generated.
+#latex_domain_indices = True
+
+
+# -- Options for manual page output ---------------------------------------
+
+# One entry per manual page. List of tuples
+# (source start file, name, description, authors, manual section).
+man_pages = [
+ (master_doc, 'trappy', u'TRAPpy Documentation',
+ [author], 1)
+]
+
+# If true, show URL addresses after external links.
+#man_show_urls = False
+
+
+# -- Options for Texinfo output -------------------------------------------
+
+# Grouping the document tree into Texinfo files. List of tuples
+# (source start file, target name, title, author,
+# dir menu entry, description, category)
+texinfo_documents = [
+ (master_doc, 'TRAPpy', u'TRAPpy Documentation',
+ author, 'TRAPpy', 'One line description of project.',
+ 'Miscellaneous'),
+]
+
+# Documents to append as an appendix to all manuals.
+#texinfo_appendices = []
+
+# If false, no module index is generated.
+#texinfo_domain_indices = True
+
+# How to display URL addresses: 'footnote', 'no', or 'inline'.
+#texinfo_show_urls = 'footnote'
+
+# If true, do not generate a @detailmenu in the "Top" node's menu.
+#texinfo_no_detailmenu = False
+
+
+# -- Options for Epub output ----------------------------------------------
+
+# Bibliographic Dublin Core info.
+epub_title = project
+epub_author = author
+epub_publisher = author
+epub_copyright = copyright
+
+# The basename for the epub file. It defaults to the project name.
+#epub_basename = project
+
+# The HTML theme for the epub output. Since the default themes are not optimized
+# for small screen space, using the same theme for HTML and epub output is
+# usually not wise. This defaults to 'epub', a theme designed to save visual
+# space.
+#epub_theme = 'epub'
+
+# The language of the text. It defaults to the language option
+# or 'en' if the language is not set.
+#epub_language = ''
+
+# The scheme of the identifier. Typical schemes are ISBN or URL.
+#epub_scheme = ''
+
+# The unique identifier of the text. This can be a ISBN number
+# or the project homepage.
+#epub_identifier = ''
+
+# A unique identification for the text.
+#epub_uid = ''
+
+# A tuple containing the cover image and cover page html template filenames.
+#epub_cover = ()
+
+# A sequence of (type, uri, title) tuples for the guide element of content.opf.
+#epub_guide = ()
+
+# HTML files that should be inserted before the pages created by sphinx.
+# The format is a list of tuples containing the path and title.
+#epub_pre_files = []
+
+# HTML files shat should be inserted after the pages created by sphinx.
+# The format is a list of tuples containing the path and title.
+#epub_post_files = []
+
+# A list of files that should not be packed into the epub file.
+epub_exclude_files = ['search.html']
+
+# The depth of the table of contents in toc.ncx.
+#epub_tocdepth = 3
+
+# Allow duplicate toc entries.
+#epub_tocdup = True
+
+# Choose between 'default' and 'includehidden'.
+#epub_tocscope = 'default'
+
+# Fix unsupported image types using the Pillow.
+#epub_fix_images = False
+
+# Scale large images.
+#epub_max_image_width = 0
+
+# How to display URL addresses: 'footnote', 'no', or 'inline'.
+#epub_show_urls = 'inline'
+
+# If false, no index is generated.
+#epub_use_index = True
diff --git a/doc/api_reference/index.rst b/doc/api_reference/index.rst
new file mode 100644
index 0000000..e60e913
--- /dev/null
+++ b/doc/api_reference/index.rst
@@ -0,0 +1,22 @@
+.. TRAPpy documentation master file, created by
+ sphinx-quickstart on Fri Sep 4 12:40:17 2015.
+ You can adapt this file completely to your liking, but it should at least
+ contain the root `toctree` directive.
+
+Welcome to TRAPpy's documentation!
+==================================
+
+Contents:
+
+.. toctree::
+ :maxdepth: 4
+
+ trappy
+
+
+Indices and tables
+==================
+
+* :ref:`genindex`
+* :ref:`modindex`
+* :ref:`search`
diff --git a/doc/compare runs.ipynb b/doc/compare runs.ipynb
new file mode 100644
index 0000000..8657776
--- /dev/null
+++ b/doc/compare runs.ipynb
@@ -0,0 +1,191 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:567ecb47c78aa19c7fee70a56d3d2260e63edb25c8645d6f48988621ebc6a624"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Compare runs"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`trappy.compare_runs()` is a function to compare two or more runs."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "First some hacks to allow importing trappy from here"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import sys\n",
+ "sys.path.append(\"..\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline\n",
+ "import trappy"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`map_label` is a dict that maps cpumasks to a name. This name will be used as a label for the load and frequency plots."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "map_label = {\"00000000,00000039\": \"LITTLE\", \"00000000,00000006\": \"big\"}"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`actor_order` is an array that with the order in which the actors appear in the `thermal_power_allocator` tracepoint."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "actor_order = [\"GPU\", \"big\", \"LITTLE\"]"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`runs` is an array of tuples consisting of a name and the path to the directory where the `trace.dat` or `trace.txt` can be found. The path can be relative to the location of the notebook or an absolute path. We use a relative path in this example so that it works regardless of the path of trappy in your system. Note that you can specify the path to the directory containing the `trace.dat` or `trace.txt` or you can specify the path to the file if you have called it something else (e.g. `/work/ipa/latest_trace.dat`)"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "runs = [(\"good\", \"./trace.txt\"), (\"experiment\", \".\")]"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 5
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.compare_runs(actor_order, map_label, runs)"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZgAAAF7CAYAAABfMu77AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbb18784b10>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZQAAALjCAYAAACF04DGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nv/8PypxY6xGbtqIAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf3204110>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZoAAARLCAYAAAANhRbMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n1f8HXkCqK0PVGOsAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf2a46d90>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZsAAAF7CAYAAAC0BVX4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf1e96250>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZoAAAF7CAYAAABbxz7GAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERERERERERERERERERGp6v8BImhvF/Ynn7gAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf1daa890>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZsAAAF7CAYAAAC0BVX4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAAAAcLT7fyx+NnOsEuxQAAAAAElFTkSuQmCC\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf1615150>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAu4AAAozCAYAAABsUtJcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkiRJkqRp9f8DQuElPmpk2RYAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf1215550>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAs8AAAHDCAYAAADbWPdRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3XucLHdZ5/HPnJwcICcJh4SEXMmgiIBgDiBRwu0AohAj\nAuqqgGRAcKNCQBQQL5vjuoCoLIgs6CIkQSKKIBggYEhIEBUDAQ4JCVchQCAXciO3DRuS2T+e6u2a\nnumZmjo1v+qnzuf9es1rpqZ7ur/d1f3U01VP94AkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk\nSWpoJ/A3fYfYw/0k8O6+Q2hDLQAf7TvEbvgz4MS+QyTzRuD3+w6h3twJuBi4R99BtKHuAL6v7xAt\n/TDwb32HyOpk2jXP9wRurH3dAdxUW354VwEL6+OJcAFwTOHrLO0FwFeIx8glwA/UTvs94GvAd4C3\nA/utcjnnAlcBNwCfA547cfrTqsu6iXhBcreJ038S+Jfq768CzgN+er03ZsICazfGTc7TxuOAzwM3\nAx8mnpcr2QK8GbiUuO2fBp4wcZ4nExv7G6rvP1M77RDg68DeHeXWxtnJ7u0Q+QDjOv5/ge/Wlt+w\nu+F6cirwR4Wv8/nkvb+aejBRT28ErgBOqp12LPBxop58htV7gt8E/rM675XAKSzdDswTtf9mou4/\nbuLvDyXq27cYbxt2Avus+xYt1aQf2Iie4QBi+3UTUbN/aZXznkD0EN8BvgG8CtirdvoRwHuBa4DL\ngb+YOP39wPEd5d6jtG2eJ2V49bXX2mfhDuD7d+M6Nq3z/A8Fvrgb15fBc4jied9q+V6Mm9oTiEJ3\nOLAVeA+xoZvmgYwbuGOAW4EfrJZ/iCicj6gu63SiGR/5OaLAPJtxYX4U8L/Xf5OWWKCf5vnuwPXA\nzxLN8Z8AH5ty3n2I5/qouf4p4r46qlo+mNgw/WS1fFy1fFDtMs6qrktrW28d6NJOujuaeArw3zu6\nrI2yucF5TmX3mucm1zHps8DDduM6Z93diUb3l4iavJVxjT+AaNZ+FpgDng5cC2ybclnfx3ibcDfg\nHOCPa6d/jDj6dSfgqcB11fWPrutS4G2M69sRwGuI7cXuaNIPbETv8/bqax/iRcf1wP2nnPfE6jyb\ngcOIRvqltdP/kXgebyGOglxIvLAbeRrRXA/Gg4m9QzcA7wD+nqVP/ucCXyIeoP9EvPIaORb4BHGH\nf5ylT+B7AR+pLvcs4lVI183znYgH+teIV6NvBO5cnbYDuAx4MbHn71vEHq/jiCbyGuB3ape7E3gn\n8HdV5k8ShxpGDgPeVV3WV1j6oBj97d8wbpoeSjwRr6uu+y8YN2P/wtI96P+FlZue+m09tbp9Z1Z/\n99g1Mk36byxv3u4Afo1YvzcQG6/vr3JfX90X9T2AxwO7qtv0bywtGL8DfJnx3sQn105bAP4V+FOi\nsH2F5Xsjd9cm4tXwY6ac/k7gt2vLDwP+D+PHy2qOAa5m/Nh/BVFAR76P2Gu2lSjgXwd+q2nwFSww\n3jvyFaLo3Jdo4L9HPGaurc57IHAG8bg7n3judt08/yqx/kb2AW4B7tPw7z8DPKX6+VhiQ1h3FfCj\nteXfBd6y/pjFTXv+HUA8Fkd7WfYlnhvPqJZPBf6SqIs3EEcl6nvy7wt8iKhRnwd+vnbaqSytA49j\nacO2g/XVvTnGz92rifo/ai7miRrxTKLGfptYNxDP3+8Se4xvJLYhu+MUlm53Vqs1lxLP5Qur634z\nsbH+APE8+BDj5ml0G54LfJO4P+rPzSa3/9nE7T+v+v0/EHvWrie2caNm41dZugf9n6rfTzY9p7J8\nfb2kuszT1sg06Z7Ec7H+IupUYk/0mVWOjxJHdP6cuD8/B2yvnX+17cgxTN+OjW7bfyUeW9cBr5+S\nc3e8grhfVnI8sb2p+wKxztZyIPFYeUG1fB+ixm6tnecjxO0D+B9ELWvr3tXlXU88l0Y7XCb7gdHz\n/cXEfX4ZcXu6bp63Eo/Ve9d+dxrwyoZ//5vEtmfkCyzdrv8JUedGDiceq4M4qriFKArPJ/aWPoW4\nM0d7AB5LrOTt1XlfR6x8iA3EdcQrvU3ALxIb9NGTfPQKbm/gkcRG4q0dZK4/gF5D7EHcRmygziCe\naBBF6TZiFnAvYq/k1cRewq1EwbuF8R6xnUThe2p1/t8iCsle1e37ZHVZm4kXBv8J/MTE3z6pWr4z\n8aLkmOpvjyJGCEZP0snbAc2a5+sZv0C5yxqZJr2D5Q3dHcQhm32J++O7xCH5eWB/oig9szrvg4im\n56FEcX8m8FXGT4SfIwo0xIuBmxjP4C0Q98+vVH97IrEhm+Z9xGNrpa8zpvzNPavbcxLRvH6FWC9z\n1en/QBSjkYdX519tj8H7iAb7FsbrFuIx9+KJ895A3Ef3rS73KNrZSjQAo3GTezDeOJ/A8sfI31Vf\ndyH2iF9GFONprmf6ffuSKX/z58D/mvjdhcRzZS33IO7DUaO9L7HujyeeW08m1tddan/zVOKxPcvW\nqgmPJ5qhg4A3Ec+/kVMZH7nYAryW8XrdSjTeJ1TXsZ2owfer/W29DtyJpXttd7C+uvcC4N+JBmpv\nYmP3t9Vp88Rj+a+q6/lhlh6BOZluajosbZ7XqjVfrTIfVOW+EvgUcHSV8xxiZ0H9NpxOPMYeQDSJ\no8PxTW7/qdXf3qn6/QJxX+5NbIPqLxxW2oM+WetXWl+vrC7vzmtkmvRTxJ7nulOJx8yDGN8flxIv\n3uaI+/nD1XnXehw32Y6dQWwvjiTu259kZU9jeu25ltiLu5JziOfIvxHr+ozqumDl5vlLwKunXNYo\nx3eq7PX79SnV7at7XfUF8B/EY76ttwMvq37eQuxIGJl8jDyB2CF4f2Jnxd+ucJ66NzD9vt015W8e\nRBz1q3sR07exk97DuN+CuJ/eSjxXDgcuYulIHsT9/oCGlz/THkVsbOs+yviJ/WaWHtLYSjRBRwG/\nTDyY6v6dKPr3JApCfYN4Ot3ueZ4jGrT6g+lhRNMEUZRuYdw87Vf97UNr57+AcVO0s8o/Mke86nsE\nsVfsaxM5XsZ479hOxnslpnkhcVhj8naMLLB283xq7bS1Mk06i9gzMnn59aMFF7C0KfwzYuMAsbdr\ncqPweeIxtJJPM75vF4iCNrJPdd0HT/nbNo6tLvO9RCE/ingl/Jzq9F+plo8C7koUiDtYusdzJXsR\nLwyuZbx38GyW35eXEffFqCnf0vJ2bCUK3lNZ+vyB5Y+RvYjnY30P8Mvpfs/zX7N8b8S/Mn5hNc3e\nxH31xonfH08U7duq70+cOP3xxAZ8ljV5/r2O2IB8g6V7Dk9l6UZ7K3FE4QjgF1j+4uevGDeDp7J8\n3KjeeO5gfXXvc8ROkpFDicfUJsbN42G1088nXhzDxo1tTKs1j6x+/ipLZzPfydIXd89j/MboeeI2\n1J8jryIe09Ds9s+vkntbdZ7ReNbkHnRYuXmur6/vsrReXLJKpklPZ/kI1SnEY2bkeSxtMB9I1BhY\n/3Zkpe1YvQn8e5Yeyu/CaK/2Q4gXA3/O+EjYgURt/gWi3pwA3M7ymrOSexPbqd+sln+Z5fflyxnf\nF19ked1fj9OI9XL4CqdNPkbewtLG9AdWOM/ueiTxAr/uucTM91qeTez0OKD2uwOIF7G3EVlXegxd\nRvRUy/Q5f9bGYSzfA/iN2s+HsvSJdTNx2O/w6rSvT/zt12qnXUfscaqfNk39jSOrDazXHUQ0YZ9k\n/ArrA4znk6iyLlY/j7LUDxn/H2JP2Ej9hcRitXwY0TQdxtJXcy9jafM3+SLkPsSey8uJV1svJ57o\nbY3yjBzVIFPddURTOWny/qgv1w9hHUXsua5f3xGMRxmeSRSi0WkPYOntvaL28y3V9/p9v7tG6/dP\niL16XyMK1XHV799CvPI/j2hoRnteJtfbpNuJjfP5jEcPbiIa8Lq7Eo/fa6rlQ2nnZmJDcCLx4u19\njPf0TTqI2FtUf85OPie7cBPLHzuj2zvNJqKxupXYeI88mBgfeiSxsXs08SL96Np59iP2rs6yJs+/\nNxFHA05l3KzA8ufyzUQDcFh1uT86cblPY3wUZ5Gl63sl66l7RxGN5ui6LiEa+fonN0w+d5s+b5/O\nuK6/v+HfjDKtVGvqTfxadWsy4+RzZHRZTW5//W83ETuUvkzU9a9Wv69vd9br20RzPDLfINPIdaz8\nxueraj/fOrE8uf5Xexw32Y61fXw0dQvRsH+SeKHxh0TDvh/xWH8y8Xi5gtjrfTZr13WIdfjHjHcC\nrFXnrmHpY3C9XkK8qP04cbTgWauc91Bms65D3N+vIHZ6jMYH54B/Jo7w7kM8Hw4gXqjWTa3t2Zrn\ny1n+Kqg+e/ctlr7q3ko8cS6rTps8NH1UddrlxJ6WfSZOW2RlTyTu1P1Y+sar1VxNFIH7V9d1N2Iv\nwEoNYlNH1n7eRBTsbxIP4q/Wrudu1fWMZhoXWX7b3kgUvXsTD8jfY/XHx80svb8OWeE89ev4+hqZ\nJl1I8xnVadf38onr25fY03AU0RD9BvGEuRtRHOZop/5iavJr2kb4CyzdAE3ehkViT9m9iMf4JcRj\ndbXxkbq9GR/iupilzd73E3uOvljl+Aaxt7qts4jDpocQe9zeVP1+8jH2bWKjWn/OTvsUjJH6J9VM\nfv3OlL+ZvL1bids8ebh0ZI5oiA8i3shze+20xxFHrD5VLV9AvDD58dp57sf0Q42zYq3n317Ec+Kt\nxPOi/magOZbWmn2J5803q8v9yMTl7lddxmqm1dYmt+MJE9e3D8v3SLW5ztMZ1/WfWmemabVmmrVq\nzeRzZPS8b3L767fz6cRe+8cRdf1eE9e/0n1yC0tr+6ET55v8m/WskwurDG17j7W2bevdjq2m/mJq\n8usGpo9tXLjG5f4LMVpyINEI35doUJvYm/HOnIuJPbv15v9oxnXubGIHStvt2pXEnuvDiTnqNzB9\nT/LlrK+u/yXT79uLpvzNF4mdL/WZ56NZPgZU9wSirk2Oy9ydODLwemLP87XEToPjauc5nNhOfmGl\nC87WPP87sWF7HnEn/gxLD++9nXh1NJolewWx4fs60eDch9hTvJnYW3Zf4lXq14mN4h8SD85H0P1H\nlNxBNBWvZfxO/cOZPvPbxEOIJ8dm4vDUrcTt/QTxIHwJcSh9L2LP6o9Uf7fSk2nf6m9uIe6XX5s4\n/UqWblA/Q+ylOpqYe9s5cf7J6/j4GpkmnUns5VvL3MTPo+U3EXtDj6l+t5XYIO5b/bxIvKDZRDxm\ndmeuqf5iavJr2kb4FmLj+pIq0xHEIaj3Vaffjbi/54gXXK9m+rv7f7DKcBfi8fsM4n49qzr9dOJj\n50aftvFHxBtubibuhxcBf0CMWexP3CePYHwodZ54/K5UEA8mnodbGY81jJrPK6vbNZr9vJ3YI7Oz\nynp/4rDlak3Nvky/b/94yt+8m1ifTyUemycTze20T295I/GYfxKxp6juM8Re51Ez/qBquf5GnEcT\n9WWWrfX8+11i/TyLeKPsW1m6fTiOGPHZQjx+PkY0dO8n6uoziPW8N1GTR58usFKtmZvy+yb+kqjr\no8fiQSyd71/NFcRjue111zWtNW39PuP3BSwwbsTXe/v3JR7T11a5XjFx+pUsb4h2EY3jXkTzMW3U\nbWQ9mS4j9qDWx8/Wsz7WehyvtR2btNp1119MTX7tz/S9xacQ2+WjiefDHxCjaaM9pA+qfr8/MWr4\ndeKNgCt5DuN+4f7EDoN3VctfJNbVyUSdeypxX4xO/5/VdZzGeN0cTmxLRtu785g+F/3zjF8gXE/U\n6Tuq5cl+4B3E4/R+jD/BaDUnMv2+nfa+npuJ7cd/r67jEcR2bdoo1mOJdfhUor+ru5po+H+NeAxt\nI7ZFk3X9HGK7tky25vk24o74FcZv/nsf4z145xAP1HcRe5rvRbwxEOIQxvHE4ZKriXc/H894N/7T\niCf0tcS83rR3y65XvTF4KVE4/oPxu6zvM+W8Ky1PnvZPxIuAa4n74qnEBvB24rZtJ2aqv028+tq/\n9reTl/3bxH1wQ3Xev5s4z07iPrmO2Ev5ReJBfDbxyuyjLN87UV++Y41Mkz5N3Ef1z3le6f6Ydp2f\nJJrR1xP3z5cYH+66hCggHyM2qA9g6aczrHT/tN1TtprnEXtWv0W8MDydKLwQr4zfX51+JrFn9K9r\nf/tGxnNyc0SxupK4Pc8hNt6jQ2eXEMXq9Oo8dwF+vXZZ7yIeR88mGqIriHX7nur0I4k38Ky013sT\nMYP3TeI59kjGG6xziFf7VzA+DPs8YgN3BTGashGfUnE1sQf55cS6/xHGdQCiUTyz+vkoYu/K0VWm\nyXGss4jRmn+sfv/O6nLPrk4/lNhgjO6rWbXa8+8hxDp8JvE4f1X1fTQLukjMPJ9MrOMHMf4kjhuJ\nHQC/SDwGLifmzbfU/nal59JqezJXe679OTH/P/rkj4+xdo0Y+Yfq+zUs35iuV5Nas1b9XumyRj5C\nbCvOJl7MjB5v6739byVGwr5J7KH72MR53kw0Zdcxng1+AdGUjEZwJv9R1eR1rJVp0l8R87r1y1vr\n/hgtr7VtW2s7ttZjsQvnEjXm/YxfnDytdvqLq9xfJ0ZbnlI77ZEsHUM4ltgTeyOxHt7K+H09EM+7\nHyEedy8n6t5oFO+66u9vI46W3UA8jq4nHlsQzXF921f3I0SvMvoklpOI7QAs7wc+SOwY/DDRG5zD\nxmwzf53Yfl1FfILUicT7AGD8PzZGDf/vE814/cjw6EjwItEv/TSxvfgS8SJzNE8O0VPVP32jqG3E\nxuZzxAb8xzbgOs4nXjHsaU5m+P8B8fH4HwZnwe+x/J+uKGT5D4O7U4tXelOZNsY88UIn246t9diC\n/2FwFqzWOO/pev8Pg6cx/vzCzSx/01IbjyJmKzcTTfPN7JlPwp0Mv3mW1I3dqcWnYvNcyjzDb56l\n9Nr8d6Cm7kocghjtFf4ecRh+d/0gMV+zlfh4qJ9j+T8x2BNsxOEmScOzu7XYWlOW97W0B9tOjFSc\nQrxT/U3s/v9TlyStj7VYkjq0kYeGNhOfkfqG6vvNTP9oKUnSxrAWS1KHNnJs47Lq6xPV8juZKNgH\nHnjg4jXXXDP5d5I0dJ8h9giXYC2WpOVa1+GN3PN8BfGB5qOPYvtxJv5JwTXXXMPi4mK6rxNOOKH3\nDHtK7oyZzW3myS9gcrn+T1w2mrV4hr4yZja3mYeSu16Ld6cOb+SeZ4DnE58tu4V4c99q/94xjfn5\n+b4jtJIxd8bMYO6SMmbugbV4RmTMDOYuKWNmyJu7jY1unj/D0v8AKEkqz1osSR3xsyRb2LZtW98R\nWsmYO2NmMHdJGTOrGxnXfcbMYO6SMmaGvLnbsHluYfv2Uu/z6VbG3Bkzg7lLyphZ3ci47jNmBnOX\nlDEz5M3dxlzP1784GuCWpKGam5ujXuvm5uag//pbZy2WNHj1Wrw7ddg9z5IkSVJDNs8tnHfeeX1H\naCVj7oyZwdwlZcysbmRc9xkzg7lLypgZ8uZuw+ZZkiRJaqjvmTvn7CQNnjPPktQ/Z54lSZKkwmye\nW8g615Mxd8bMYO6SMmZWNzKu+4yZwdwlZcwMeXO3YfMsSZIkNdT3zJ1zdpIGz5lnSeqfM8+SJElS\nYTbPLWSd68mYO2NmMHdJGTOrGxnXfcbMYO6SMmaGvLnbsHmWJEmSGup75s45O0mD58yzJPXPmWdJ\nkiSpMJvnFrLO9WTMnTEzmLukjJnVjYzrPmNmMHdJGTND3txt2DxLkiRJDfU9c+ecnaTBc+ZZkvrn\nzLMkSZJUmM1zC1nnejLmzpgZzF1SxszqRsZ1nzEzmLukjJkhb+42bJ4lSZKkhvqeuXPOTtLgOfMs\nSf1z5lmSJEkqzOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2nw\nnHmWpP458yxJkiQVZvPcQta5noy5M2YGc5eUMbO6kXHdZ8wM5i4pY2bIm7sNm2dJkiSpob5n7pyz\nkzR4zjxLUv+ceZYkSZIKs3luIetcT8bcGTODuUvKmFndyLjuM2YGc5eUMTPkzd2GzbMkSZLUUN8z\nd87ZSRo8Z54lqX/OPEuSJEmF2Ty3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElq\nqO+ZO+fsJA2eM8+S1D9nniVJkqTCbJ5byDrXkzF3xsxg7pIyZlY3Mq77jJnB3CVlzAx5c7dh8yxJ\nkiQ11PfMnXN2kgbPmWdJ6p8zz5IkSVJhNs8tZJ3ryZg7Y2Ywd0kZM6sbGdd9xsxg7pIyZoa8uduw\neZYkSZIa6nvmzjk7SYPnzLMk9c+ZZ0mSJKkwm+cWss71ZMydMTOYu6SMmdWNjOs+Y2Ywd0kZM0Pe\n3G3YPEuSJEkN9T1z55ydpMFz5lmS+ufMsyRJklSYzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SM\nmSFv7jZsniVJkqSG+p65c85O0uA58yxJ/XPmWZIkSSrM5rmFrHM9GXNnzAzmLiljZnUj47rPmBnM\nXVLGzJA3dxubC1zHpcANwO3AbcAxBa5TkjR2KdZhSepEiZm7rwIPAa5d4TTn7CQN3gzMPK9Wh8Fa\nLGkPkG3meZbeGCNJeyLrsCR1oETzvAicDVwAPLfA9W24rHM9GXNnzAzmLilj5h4Mrg5DznWfMTOY\nu6SMmSFv7jZKzDw/HLgcOAj4EPB54KOjExcWFpifnwdg27ZtbN++nR07dgDjFTFryyOzkqfp8q5d\nu2YqT5PlXbt2zVSeoS9nvL9HZiXPtOWFhQU++MEPcuKJJ9KDVeswWItdHl5tyLqccVtdNyt5puUb\n1eLdUfow3snATcCrq2Xn7CQN3mjOrv6d/sYoJuswWIsl7QEmajDM6MzzPsB+1c9bgZ8ALtrg65Qk\njVmHJalDG90834M4NLgLOB94H3DWBl/nhps8BJBFxtwZM4O5S8qYubBB1mHIue4zZgZzl5QxM+TN\n3cZGzzx/Fdi+wdchSZrOOixJHer7o4ucs5M0eDM287wSa7Gkwcsy8yxJkiQNhs1zC1nnejLmzpgZ\nzF1SxszqRsZ1nzEzmLukjJkhb+42bJ4lSZKkhvqeuXPOTtLgOfMsSf1z5lmSJEkqzOa5haxzPRlz\nZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2nwnHmWpP458yxJkiQVZvPcQta5\nnoy5M2YGc5eUMbO6kXHdZ8wM5i4pY2bIm7sNm2dJkiSpob5n7pyzkzR4zjxLUv+ceZYkSZIKs3lu\nIetcT8bcGTODuUvKmFndyLjuM2YGc5eUMTPkzd2GzbMkSZLUUN8zd87ZSRo8Z54lqX/OPEuSJEmF\n2Ty3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2eM8+S1D9nniVJ\nkqTCbJ5byDrXkzF3xsxg7pIyZlY3Mq77jJnB3CVlzAx5c7dh8yxJkiQ11PfMnXN2kgbPmWdJ6p8z\nz5IkSVJhNs8tZJ3ryZg7Y2Ywd0kZM6sbGdd9xsxg7pIyZoa8uduweZYkSZIa6nvmzjk7SYPnzLMk\n9c+ZZ0mSJKkwm+cWss71ZMydMTOYu6SMmdWNjOs+Y2Ywd0kZM0Pe3G3YPEuSJEkN9T1z55ydpMFz\n5lmS+ufMsyRJklSYzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG+p65c85O\n0uA58yxJ/XPmWZIkSSrM5rmFrHM9GXNnzAzmLiljZnUj47rPmBnMXVLGzJA3dxs2z5IkSVJDfc/c\nOWcnafCceZak/jnzLEmSJBVm89xC1rmejLkzZgZzl5Qxs7qRcd1nzAzmLiljZsibuw2bZ0mSJKmh\nvmfunLOTNHjOPEtS/5x5liRJkgqzeW4h61xPxtwZM4O5S8qYWd3IuO4zZgZzl5QxM+TN3YbNsyRJ\nktRQ3zN3ztlJGjxnniWpf848S5IkSYXZPLeQda4nY+6MmcHcJWXMrG5kXPcZM4O5S8qYGfLmbsPm\nWZIkSWqo75k75+wkDZ4zz5LUP2eeJUmSpMJsnlvIOteTMXfGzGDukjJmVjcyrvuMmcHcJWXMDHlz\nt2HzLEmSJDVUYuZuL+AC4DLgpydOc85O0uDNyMyztVjSHi3TzPMLgEsAK7Mk9cdaLEkd2Ojm+Qjg\nOOCvma13lu+WrHM9GXNnzAzmLilj5h5Yi2dExsxg7pIyZoa8udvY6Ob5NcCLgTs2+HokSdNZiyWp\nIxu5B+J44InAbwA7gN/COTtJe6CeZ56txZJEdzPPm7uLtMyxwJOIQ4V3BvYH3go8s36mhYUF5ufn\nAdi2bRvbt29nx44dwPgQgMsuu+xy9uWFhQUA5uaKf8iRtdhll13e45dHRrU4g0cD713h94sZnXvu\nuX1HaCVj7oyZFxfNXVKGzKNaR7xZb/TVB2txzzJmXlw0d0kZMy8u5sjN8lrcSsldIB4TlKT+WYsl\naTf0/a7r6gWAJA3XCnN20H/9rbMWSxq8TJ/zLEmSJA2CzXMLk8PnWWTMnTEzmLukjJnVjYzrPmNm\nMHdJGTND3txt2DxLkiRJDfU9c+ecnaTBc+ZZkvrnzLMkSZJUmM1zC1nnejLmzpgZzF1SxszqRsZ1\nnzEzmLukjJkhb+42bJ4lSZKkhvqeuXPOTtLgOfMsSf1z5lmSJEkqzOa5haxzPRlzZ8wM5i4pY2Z1\nI+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2nwnHmWpP458yxJkiQVZvPcQta5noy5M2YGc5eU\nMbO6kXHdZ8wM5i4pY2bIm7sNm2dJkiSpob5n7pyzkzR4zjxLUv+ceZYkSZIKs3luIetcT8bcGTOD\nuUvKmFndyLjuM2YGc5eUMTPkzd2GzbMkSZLUUN8zd87ZSRo8Z54lqX/OPEuSJEmF2Ty3kHWuJ2Pu\njJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2eM8+S1D9nniVJkqTCbJ5byDrX\nkzF3xszZ1KWPAAAZsUlEQVRg7pIyZlY3Mq77jJnB3CVlzAx5c7dh8yxJkiQ11PfMnXN2kgbPmWdJ\n6p8zz5IkSVJhNs8tZJ3ryZg7Y2Ywd0kZM6sbGdd9xsxg7pIyZoa8uduweZYkSZIa6nvmzjk7SYPn\nzLMk9c+ZZ0mSJKkwm+cWss71ZMydMTOYu6SMmdWNjOs+Y2Ywd0kZM0Pe3G3YPEuSJEkN9T1z55yd\npMFz5lmS+ufMsyRJklSYzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG+p65\nc85O0uA58yxJ/XPmWZIkSSrM5rmFrHM9GXNnzAzmLiljZnUj47rPmBnMXVLGzJA3dxs2z5IkSVJD\nfc/cOWcnafCceZak/jnzLEmSJBVm89xC1rmejLkzZgZzl5Qxs7qRcd1nzAzmLiljZsibuw2bZ0mS\nJKmhvmfunLOTNHjOPEtS/5x5liRJkgpr0jyf0/B3e4yscz0Zc2fMDOYuKWPmlqzFEzKu+4yZwdwl\nZcwMeXO3sXmV0+4C7AMcBBxQ+/3+wOEbGUqS9P9ZiyVphqw26/FC4AXAYcC3ar+/EfjfwOs7uH7n\n7CQN3m7OPFuLJakDXc08N/mjk4DXtbnwBizYkgavozcMWoslaTeUfMPg64BjgacBz6x97bGyzvVk\nzJ0xM5i7pIyZW7IWT8i47jNmBnOXlDEz5M3dxmozzyNvA74P2AXcXvv9WzckkSRpJdZiSZoBTXZX\nfw64P7ARx/Q8VChp8Doa27AWS9JuKDm28Vng0DYXLknqjLVYkmZAk+b5IOAS4CzgvdXXGQ0v/87A\n+cRhxkuAV7bIOHOyzvVkzJ0xM5i7pIyZW2pbiwdZhyHnus+YGcxdUsbMkDd3G01mnnfuxuXfCjwG\nuKW6rn8FHlF9lyQ1t7Pl31mHJalDrWY9WtoH+AhwArH3A5yzk7QH6GjmuQsr1WGwFkvaA5Sceb6J\n+DD+G4HvAncAN6zzOnYBVwLnsrRgS9JgLS4ucvXVV3d1cbtTi63DkvZYN910E7feemtnl9dkbGPf\n2s+bgCcBP7aO67gD2A7cFfhnYAdw3ujEhYUF5ufnAdi2bRvbt29nx44dwHh+ZtaWR7+blTxNl1/7\n2temuH/ry7t27eKFL3zhzORpujz5WOk7T9PljPf36Hezkqe+fNFFF3HSSScBsH379irtHC0/MGN3\navGqdRisxaWWrQ3e32stZ9xWj8zq8/E5z/mvHHLIwcAce++9hb7savl3fwD8dm15MaNzzz237wit\nZMydMfPiorlLmuXMZ5555iLRKa/01YU2tXiyDoO1uJiMmRcXzV1SxsyLi7OdG1g8+OCDO6vDTWY9\nfrb28ybgIcCjgYc1+Nu7A98DrgfuQuzx+EPgnOr06jZJ0vB84AMf4Ljjjpt28npn7drW4rXqMFiL\nJQ3Y3NwcBx98MFddddWyk9pcXpOxjZ9m3J1/D7gU+JmGl38ocBpR6DcBf8PSgi1JaqZtLbYOS1KH\nNjU4zwLwrOrrucDLgWWt+xQXAQ8mZu1+GPjT9UecPfX5nkwy5s6YGcxdUsbMLS3QrhYPsg5DznWf\nMTOYu6SMmSFv7jaaNM9HAu8Gvl19vQs4YiNDSZKWsRZL0gxoMutxNnA68LZq+enV1+M7uH7n7CQN\nVsczz9ZiSWqh65nnJnueDwJOAW6rvk4FDm5zZZKk1qzFkjQDmjTP1wC/DOxFvMHwGUBnn/qfUda5\nnoy5M2YGc5eUMXNL1uIJGdd9xsxg7pIyZoa8udto0jw/C/gvwBXA5cDPV7+TJJVjLZakGdBk1uM0\n4IXAddXyAcCfAc/u4Pqds5M0WB3PPFuLJamFPmaej2ZcrAGuJT72SJJUjrVYkmZAk+Z5jtjDMXIA\nMXO3x8o615Mxd8bMYO6SMmZuyVo8IeO6z5gZzF1SxsyQN3cbTf7D4KuBjwHvIIr3zxMfzi9JKsda\nLEkzoOmsxw8BjyX+NeyHgUs6un7n7CQNVsczz2AtlqR163rmucmeZ4CLqy9JUn+sxZLUsyYzz5qQ\nda4nY+6MmcHcJWXMrG5kXPcZM4O5S8qYGfLmbsPmWZIkSWqo1axHh5yzkzRYGzDzvFGsxZIGq4/P\neZYkSZKEzXMrWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG+p65c85O0mA58yxJ\n/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG+p65c85O0mA5\n8yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG+p65c85O\n0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG+p65\nc85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJkqSG\n+p65c85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZsniVJ\nkqSG+p65c85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv7jZs\nniVJkqSG+p65c85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SMmSFv\n7jZsniVJkqSG+p65c85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOYu6SM\nmSFv7jZsniVJkqSG+p65c85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWfMTOY\nu6SMmSFv7jZsniVJkqSG+p65c85O0mA58yxJ/XPmWZIkSeqJzXMLWed6MubOmBnMXVLGzOpGxnWf\nMTOYu6SMmSFv7jY2unk+EjgXuBj4LHDSBl+fJGkp67AkdWijZ+4Oqb52AfsCnwSeDHyuOt05O0mD\nNSMzz2vVYbAWSxqwbDPPVxAFG+AmolgftsHXKUkasw5LUodKzjzPAw8Czi94nRsi61xPxtwZM4O5\nS8qYuUfzDKQOQ851nzEzmLukjJkhb+42SjXP+wLvBF5A7PmQJJVlHZakDmwucB17A+8C3ga8Z/LE\nhYUF5ufnAdi2bRvbt29nx44dwPhVjMvdLI9+Nyt5mi7Xs89CnibLO3bsmKk861kemZU8mZcvvPBC\nZsSqdRisxaWWrQ3e32stj343K3mGsvyd73yHrmz0G1bmgNOAa4DfXOF036QiabBm5A2Da9VhsBZL\nGrC5uanldibfMPhw4BnAY4BPV19P2ODr3HCTr8KzyJg7Y2Ywd0kZMxc2yDoMOdd9xsxg7pIyZoa8\nudvY6LGNf8V/xCJJfbIOS1KHSh02nMZDhZIGa0bGNpqwFksarGxjG5IkSdJg2Dy3kHWuJ2PujJnB\n3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S1D9nniVJkqSe2Dy3kHWuJ2Pu\njJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S1D9nniVJkqSe2Dy3kHWu\nJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S1D9nniVJkqSe2Dy3\nkHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S1D9nniVJkqSe\n2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S1D9nniVJ\nkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S1D9n\nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2WM8+S\n1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fsJA2W\nM8+S1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+ZO+fs\nJA2WM8+S1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElqqO+Z\nO+fsJA2WM8+S1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmSJElq\nqO+ZO+fsJA2WM8+S1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D5lmS\nJElqqO+ZO+fsJA2WM8+S1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny5m7D\n5lmSJElqqO+ZO+fsJA2WM8+S1D9nniVJkqSe2Dy3kHWuJ2PujJnB3CVlzKxuZFz3GTODuUvKmBny\n5m7D5lmSJElqqO+ZO+fsJA2WM8+S1L9sM89vAa4ELtrg65EkTWctlqSObHTzfArwhA2+juKyzvVk\nzJ0xM5i7pIyZe2AtnhEZM4O5S8qYGfLmbmOjm+ePAtdt8HVIklZnLZakjpSYuZsH3gs8cIXTnLOT\nNFgzNvM8j7VY0h6o65nnze2jdGNhYYH5+XkAtm3bxvbt29mxYwcwPgTgsssuu5xx+cILLyTK7PeY\nddZil112ecjL2cwz/U0qixmde+65fUdoJWPujJkXF81d0ixnPvPMMxeBaV+lzWMt7l3GzIuL5i4p\nY+bFxdnOTcd1eFPbP5QkSZL2NBs9c/d24NHAgcBVwH8j3vU9Ur0gkKThmaGZZ2uxpD1WtpnnX9rg\ny5ckrc1aLEkdcWyjhazD5xlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ6U/Z3SSc3aS\nBmuGZp7XYi2WNFhdzzy751mSJElqyOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+S\nJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cb\nNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQ\nN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1S\nxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZ\nzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4pY2Z1I+O6\nz5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4pY2Z1\nI+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM5i4p\nY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlzZ8wM\n5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxzPRlz\nZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5haxz\nPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1xOa5\nhaxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJkiT1\nxOa5haxzPRlzZ8wM5i4pY2Z1I+O6z5gZzF1SxsyQN3cbNs+SJElSQ33P3DlnJ2mwnHmWpP458yxJ\nkiT1ZKOb5ycAnwe+BLx0g6+rmKxzPRlzZ8wM5i4pY+YeWItnRMbMYO6SMmaGvLnb2MjmeS/g9UTR\nvj/wS8D9NvD6itm1a1ffEVrJmDtjZjB3SRkzF2YtniEZM4O5S8qYGfLmbmMjm+djgC8DlwK3AX8H\n/MwGXl8x119/fd8RWsmYO2NmMHdJGTMXZi2eIRkzg7lLypgZ8uZuYyOb58OBb9SWL6t+J0kqx1os\nSR3ayOZ5sG/dvvTSS/uO0ErG3Bkzg7lLmuXMW7Zs6TsCWItnSsbMYO6SMmaG2c592GFHdnp5G/lR\nST8G7CTm7ABeBtwBvKp2ni8D37+BGSRpFn0G2F7ouqzFkrRcyTrc2GbgP4F5YAuwi4G8SUWSErEW\nS1IiTwS+QOzVeFnPWSRpT2UtliRJkiRJe44sH9r/FuBK4KLa7w4APgR8ETgL2NZDrtUcCZwLXAx8\nFjip+v2s574zcD5xWPkS4JXV72c9N8Rn6X4aeG+1nCHzpcCFRO6PV7/LkHsb8E7gc8Tj5EfJkXtW\nZajFGesw5KzFmeswWItLsQ73YC/i8OE8sDezPYP3SOBBLC3afwK8pPr5pcAflw61hkMYD8HvSxyu\nvR+znxtgn+r7ZuA/gEeQI/eLgNOBM6rlDJm/ShS7ugy5TwOeXf28GbgrOXLPoiy1OGMdhry1OGsd\nBmtxKdbhHjwM+GBt+Xeqr1k1z9Ki/XngHtXPh1TLs+w9wI+TK/c+wCeAH2L2cx8BnA08hvHejlnP\nDFGwD5z43aznvivwlRV+P+u5Z1WmWjxP7joM+WpxpjoM1uJS9vg6vJGf87ya7B/afw/iECLV93us\nct6+zRN7bM4nR+5NxN6vKxkf7pz13K8BXkx8/NfIrGeG+Pzfs4ELgOdWv5v13PcCvg2cAnwKeBOw\nldnPPasy1+Js63yePLU4Yx0Ga3Epe3wd7qt5HtKH9i8yu7dnX+BdwAuAGydOm9XcdxCHOY8AHkXs\nQaibtdzHA1cRs2rTPjd91jKPPJzYmD8R+A3i0HjdLObeDDwYeEP1/WaW7ymdxdyzaij306yv82y1\nOFsdBmtxSXt8He6ref4m8UaKkSOJPR5ZXEkckgA4lHjCzpq9iWL9N8ShQsiRe+Q7wPuBhzDbuY8F\nnkQcdns78FjiPp/lzCOXV9+/DbwbOIbZz31Z9fWJavmdRPG+gtnOPasy1+JZf6yOZK7FWeowWItL\n2uPrcF/N8wXADzD+0P5fYDzcn8EZwAnVzycwLoizYg54M/EO2NfWfj/rue/O+N25dwEeT+xFmOXc\nv0s0HPcCfhH4MPDLzHZmiFnG/aqftwI/QcyTznruK4gxg/tUyz9OHFJ+L7Ode1ZlrsWz/liFnLU4\nYx0Ga3FJ1uEeZfnQ/rcD3wL+L/FgeRbxrtizmd2PY3kEcdhtF1H0Pk18HNWs534gMT+1i/jYnhdX\nv5/13COPZtx4zHrmexH38y7iI7RGz8FZzw1wNLHH4zPAPxJvXsmQe1ZlqMUZ6zDkrMXZ6zBYi0uw\nDkuSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJJVxIOPPNr2c+I9EnyY+Q3Rzj7lW8mjgYRt4\n+XcCPsL438beBziT+DzMTwJ/DxxMfHbmmzcwh6Q9i3V4zDosKZWTgRf1nGGvVU7bCfzWOi9vPRue\nZzP+ZwN3Jor1T9VOfzTwQ9XP5xEFXJK6ZB22DktK5GSiKD6EKEoXAB8EDqlOPw/4n8R/LPoc8FDg\n3URx+6PqPPPA54G3Ef/u9h+Ifx/LGpf7mupyXwQcD/wHsdflQ0RxnGe8R+ZTxH8FOxX42Vr+m6rv\nO4CPAv9UZdkE/CnwceI/Lf3qlNv/Icb/yvTZ1eVP81LgN1Y5XZLasA5bhyUlcjLw28C/AXevfvcL\njA+NnQu8svr5JOJf8d4D2EL8O967EcX1DsaH9d5MbAg2A/9OHJ5c6XJfX8tR/3ehzwH+rJavvkfm\nFJYW7Rur7zuIAn5UtfyrwO9VP9+J2DjML73p7EVsFEZeDTyf6R5DHD6UpC5Zh8esw2pt1uadNGx3\nAh5AvPqHKGbfqp1+RvX9s9XXldXyV4AjgRuIAv6x6vdvIwr8B4lDbWdPudx6ATwSeAexR2RLddkj\nczTzceBr1c8/ATwQ+LlqeX/g3sCltfPfnXHRb3Jdl7O88EtSF6zDza7LOqypbJ5V0hxwMXDslNO/\nW32/o/bzaHn0WF2cuLzFBpd7c+3nvyD2cryPmG/bOeVvvkccCqT6vmXK5QE8j/GGaJp6kb64uu7V\nzru4yumS1JZ1OFiH1dqmtc8idea7wEHAj1XLewP3X+dl3LP2908j5t6+sMbl1gvm/oz3hizUfn8j\nsF9t+VJifg/gSdVlruSfgV9nvFG5D7DPxHmuBvatLf8tsYE5rva7RzF+o8qhjPeoSFKXrMPBOqzW\nbJ5V0u3EYbVXAbuIj0ta6WOJFpn+iv8LxJs4LgHuCrwRuG2Ny61f1k7iDS4XAN+unfZe4CnV3z4c\neBOxV2IXsTG4qXYZ9cv76yrLp4CLqjyTR3RuJw5//mC1fCvxhpnnE2/EuRg4EbiqOv0Y4F+m3H5J\n2h3W4WAdlrRHmCcKY0YLxLu3mzgPPyJJ0myaxzqsPZx7npVN1hm0vyU+T3StN8P8MPBlxns/JGnW\nWIclSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZLG/h9W2iguB71KAgAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fbaf0d14750>"
+ ]
+ }
+ ],
+ "prompt_number": 6
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/sample_trace.csv b/doc/sample_trace.csv
new file mode 100644
index 0000000..d486058
--- /dev/null
+++ b/doc/sample_trace.csv
@@ -0,0 +1,7 @@
+Time,host,type,file,protocol,response_code,response_time
+0.5536,62.242.88.10,GET,/index.html,HTTP/1.0,200,945
+0.748,62.242.88.10,GET,/robots.txt,HTTP/1.0,200,567
+0.956,67.131.107.5,GET,/admin.html,HTTP/1.0,401,340
+1.5455,217.140.96.140,GET,/razor.html,HTTP/1.1,404,120
+1.7304,216.139.185.45,GET,/mailman/admindb/ppwc,HTTP/1.1,200,1012
+2.0729,216.139.185.45,POST,/mailman/admindb/ppwc,HTTP/1.1,200,1103
diff --git a/doc/summary plots.ipynb b/doc/summary plots.ipynb
new file mode 100644
index 0000000..070588c
--- /dev/null
+++ b/doc/summary plots.ipynb
@@ -0,0 +1,165 @@
+{
+ "metadata": {
+ "name": "",
+ "signature": "sha256:24a7d057c19146437c8b7621d8326a347752d849dcc232a1136551636f8e9b81"
+ },
+ "nbformat": 3,
+ "nbformat_minor": 0,
+ "worksheets": [
+ {
+ "cells": [
+ {
+ "cell_type": "heading",
+ "level": 1,
+ "metadata": {},
+ "source": [
+ "Summary plots"
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "`trappy.summary_plots()` shows all the relevant (for the power allocator governor) plots for a particular run."
+ ]
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "First some hacks to allow importing trappy from here"
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "import sys\n",
+ "sys.path.append(\"..\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 1
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "%matplotlib inline\n",
+ "import trappy"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 2
+ },
+ {
+ "cell_type": "markdown",
+ "metadata": {},
+ "source": [
+ "For a description of `map_label` and `actor_order` see the compare runs notebook."
+ ]
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "map_label = {\"00000000,00000006\": \"big\", \"00000000,00000039\": \"LITTLE\"}\n",
+ "actor_order = [\"GPU\", \"big\", \"LITTLE\"]"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 3
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [
+ "trappy.summary_plots(actor_order, map_label, path=\"./trace.txt\")"
+ ],
+ "language": "python",
+ "metadata": {},
+ "outputs": [
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZgAAAF7CAYAAABfMu77AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cfb228d0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZQAAALjCAYAAACF04DGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cf9fdc90>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZoAAARLCAYAAAANhRbMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cf5c4810>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZsAAAF7CAYAAAC0BVX4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkxMEDGBq93AAAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cee906d0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZoAAAF7CAYAAABbxz7GAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2ce5fb910>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAABZsAAAF7CAYAAAC0BVX4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xt0nWd9J/qvJEuyJEu2fL/HgTiZxglxyMUpJcULCofp\ncLhM59BCy6phSg+LU9qeCyfltCwG6Jl2WLSnM7OmzJyWFtqh4XSmXaxpgQ7MrDHkHEickjjkAg4J\nOInvd1u2ZV33+WNvXS3bih/JuvjzWetd7/s8e2vvRzv5WtJPj347AQAAAAAAAAAAAAAAAAAAAAAA\nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACYH3YkeTLJuSQHk/xhksUv4+P3Jnn9FK7nSo+3\nPclgkq4kZ5J8P9XPAQAAAACAGfK/JjmU5E1JGpLckOTLSXYlaZzkY/woyRumcE1XerztSV4aNX5b\nkr4kPzaFa5isBTPwnAAAAAAAs0pHqruD/8m4+bYkR5K8tzb+XJJPjrp9e0aKvX+eZCDJ+dpj/W9J\nNqW68/j9SfYnOZBqUXvIy3288Ubff8iRJP84SVOSP6g97/4k/1dtLkm+UbtPkvxEbY0/XRu/Icnj\nox7vfUmeSXIiyd8l2TjqtsEkH0zygyTPT7A+AAB42epnegEAAFDgNUkWJvnrcfPnknwlyRtr40rt\nmMh7kryY5C1J2pN8etRt25PclOqu6Qcyslv5ah9vIvVJ3pFq248nk/xWknuT3FE77q3NJcnO2pqS\n5HVJfpjkJ0eNd9au35bkI7XHXZ7koSQPjnvetyW5J8mtV1gfAABMimIzAABz2fIkx1LdqTveoSTL\nRo3rruLxP56kO8lTSf40ybsKH2+0tUlOJjma5KOpFql/kOTdST6R6ud1rLaG99Q+5pupFpWT5P4k\nvzNq/LpUdz4nyQdqt+1J9bX5nSRbk2wY9fy/k+RUkp7CzwMAAJIoNgMAMLcdS7XgPNH3tWtqt5cY\n3erixVQLxFPlQJLOVAvir07yl7X5tUleuMTzfjvJzUlWplo8/rNUC8jLUt2l/M3a/W5I8i9TLWaf\nTHK8Nr9u1OOOb+MBAABFFJsBAJjLvp3qztyfGTe/KMmbk/zX2vhcktZRt68ed/9LtcTYOO56f+Hj\nTcaBVHtGj37eA7Xr80m+k+TXU2250ZfkW6n2k34u1f7MSbVA/cupFrOHjrYkD0/RGgEAAAAA5p0P\np9oy479L0phqofYrSf6+Nk6SX0ryvVSLrqtTLbqO3tn77VTfDHDIplTbT/x5kpYkW5IcTvJTV/l4\n423PpXcWfzLJ/5fqju3lSf7fVNtqDPk/k5xO8pu18QeTnEnyr0fd5+2pFqOH+jEvTvI/jLp9MMkr\nLrM+AAAAAIDr0vtSLa6eT7Xw/JlUC6xDmpN8MdUi7e5Udwa/OOr2t6bauuJkkv8lI8XmX0p1N/PB\nJP9bweONt33c/UdrTrUFxoHa8QdJmkbd/qYkA6n2bE6S22rj0cXkJPmFJN+trfHFJH886raBKDYD\nAAAAAEy7TakWm7WdAwCASfLNMwAAAAAAxRSbAQBgYt5ADwAAAAAAAAAAAAAAAADmlLqZXsBor3vd\n6yrf+MY3ZnoZAAAAAABM7BtJtk90w6wqNiepVCpa48HV2rFjRz73uc/N9DJgzpIhKCNDUEaGoIwM\nQTk5YjLq6uqSS9SVvUEgzCObNm2a6SXAnCZDUEaGoIwMQRkZgnJyRCnFZgAAAAAAiik2wzyyZMmS\nmV4CzGkyBGVkCMrIEJSRISgnR5RSbIZ5ZOvWrTO9BJjTZAjKyBCUkSEoI0NQTo4o5Q0CAQAAAIB5\nZ+nSpTl58uRML2PO6uzszIkTJy6av9wbBCo2AwAAAADzTl1dXdQar96lXr/LFZu10YB5ZOfOnTO9\nBJjTZAjKyBCUkSEoI0NQTo4opdgMAAAAAEAxbTQAAAAAgHlHG40y2mgAAAAAADAjFJthHtFbCcrI\nEJSRISgjQ1BGhqCcHF1bX/ziF7Nt27YsWrQoq1atyn333ZfPfOYzSZIdO3akubk57e3tWbZsWd70\npjdlz549w7d99KMfHfNYe/fuTX19fQYHB6/55zGaYjMAAAAAwDX0e7/3e/n1X//1PPDAAzl8+HAO\nHz6cf/tv/22+9a1vpbe3N3V1dXnggQfS1dWVffv2ZeXKldmxY0eSahuLWiuLWWe2rUrPZgAAAACg\n2Gzt2Xz69OmsW7cuf/7nf553vOMdE97nve99bzZs2JBPfOITSZIvf/nL+bmf+7l0dXVlx44d2bBh\nQz75yU8O33/v3r15xStekf7+/tTXT83+Yj2bAQAAAABmsW9/+9vp6enJ2972tsveb6jQe/bs2Xzh\nC1/Iq1/96iSZtbuaE8VmmFf0VoIyMgRlZAjKyBCUkSEod73lqK5uao6X69ixY1m+fPmYHcivec1r\n0tnZmdbW1jz00EOpVCr59Kc/nc7OzmzevDnnz5/P5z73uan75KfJgpleAAAAAADAtTZTHTaWLVuW\nY8eOZXBwcLjg/K1vfStJsmHDhgwODqauri4f/vCHh9tojLZgwYL09fWNmevr60t9ff2UtdC4WnY2\nwzyyffv2mV4CzGkyBGVkCMrIEJSRISgnR9fGj//4j6e5uTlf+tKXLnu/S/Wb3rhxY/bu3Ttm7kc/\n+lE2bNgwVUu8aorNAAAAAADXyJIlS/Kxj30sH/zgB/NXf/VX6erqyuDgYHbv3p1z585d8eN/5md+\nJl/+8pfz9a9/PQMDAzlw4EB++7d/O+9617uuweovT7EZ5pHrrbcSTDUZgjIyBGVkCMrIEJSTo2vn\nwx/+cH7/938/n/rUp7J69eqsXr06H/jAB/KpT30qr3nNa5Jc+o0Ab7311jz44IP5yEc+kmXLluU1\nr3lNfvzHfzwf+9jHruWnMCE9mwEAAAAArrF3v/vdefe73z3hbX/6p3962Y99y1vekre85S3Tsawi\nV3q/xA1J/izJyiSVJP93kn+VZGmS/yfJDUn2JnlnklO1j/lIkvclGUjyq0m+Vpu/K8nnkixM8pUk\nvzbB81Uu1YsEAAAAAGCy6urqLtn3mCu71OtX23E9YV35Sm00+pL8z0m2JLkvyf+U5MeS/EaSrye5\nOcl/rY2T5NYkP1s7vznJH4564s8k+adJNteON0/qswIAAAAAYNa7UrH5UJLdteuzSb6XZF2Styb5\nfG3+80neXrt+W5IHUy1S703yXJJtSdYkaU+yq3a/Pxv1McAU0VsJysgQlJEhKCNDUEaGoJwcUerl\nvEHgpiR3Jnkkyaokh2vzh2vjJFmbZN+oj9mXanF6/Pz+2jwAAAAAAPPAlXo2D1mU5BtJPpnkS0lO\nJukcdfuJVPs4/+skDyf5Qm3+j5N8NdVdzr+b5I21+fuT/O9J/vtxz6NnMwAAAABQTM/mMlfTs3nB\nJB63MclfJfnzVAvNSXU38+pU22ysSXKkNr8/1TcVHLI+1R3N+2vXo+f3T/RkO3bsyKZNm5IkS5Ys\nydatW7N9+/YkI1v5jY2NjY2NjY2NjY2NjY2NjY2NjY2vNKbMzp07s3v37pw6dSpJsnfv3sve/0o7\nm+tS7cl8PNU3Chzyqdrcv0j1zQGX1M63JvmLJPem2ibjvyS5KUkl1fYbv5pq3+YvJ/lXSf5u3PPZ\n2QwFdu7c6R9TKCBDUEaGoIwMQRkZgnLzLUd2NpeZjp3NP5HkF5J8N8njtbmPpNoS4y+T/NNUW2S8\ns3bbM7X5Z5L0J/lgqoXm1K4/l6QlyVdycaEZAAAAAIA5arI9m68VO5sBAAAAgGKzdWfzpk2b8tnP\nfjZveMMbxsw/9NBDef/735/vf//7M7Sysa5mZ3P9NK8JAAAAAICaurq6oYLtGPfff/+sKTRfLcVm\nmEeGmuADV0eGoIwMQRkZgjIyBOXkiFKKzQAAAAAA19CuXbuyZcuWLF26NO973/vS09OTnTt3ZsOG\nDcP3eeyxx3LnnXemo6Mj73znO/OzP/uz+ehHPzqDq74yxWaYR+bTO8bCTJAhKCNDUEaGoIwMQTk5\nujYqlUr+4i/+Il/72tfy/PPP59lnn81v//Zvj2mt0dvbm3e84x153/vel5MnT+Zd73pXvvSlL03Y\nfmM2WTDTCwCYKZVKcu5ccuZMcvr0xOeurmRwcOqfu6kpaW+/9LFoUfXc1DT1zz3dBgaqr9uZM2Nf\ny9HH2bPV1x8AAGC6NDWNHM3NY8cTzV3pPvX1SV9f0tOT9PZefFxq/nK39ffP9Kt0fav7+NQUbisf\ne3k/4NbV1eVXfuVXsm7duiTJb/7mb+ZDH/pQfuqnfmr4Pg8//HAGBgbyoQ99KEnyjne8I/fee++U\nrPfl+Gf/7OXdf9YVm//jf5zpFcDc9fTTO7Nly/aZXsaMqVSS7u7LF49Hn7u6qt84dHQkixdPfG5v\nTxoapn6tXV3JgQPV8+WOhobLF6UnKlC3tCRT+YvO/v6R4vH4wvFEheTz56trGf06Dh1D47a26jdq\ns83evTuzadP2mV4GzFkyBGVkCMrIEKNVKtVNLhMVea92bmDg8oXpK9020XxDw9T+/FbqesvRyy0S\nT6XR7TI2btyYAwcOjLn9wIEDw8Xo0R9TmeU7t2ZdsfmLX5zpFcDcdfRo8uSTM72KmdXSMlLU7OxM\nNm26dDG5vT1pbJzpFV9apZJcuFAt9J49e/mi9PHjyd691esLF6Z2HQ0NYwvGN9wwNwvJk7FzZ+Kv\nxuDqyRCUkSEoI0NMt0pldhWGp8N8y9HHPz7TK7i0F198ccz12rVrx9y+Zs2a7N+//6KPuemmm67J\n+oZMtLP5cq/rbItIZbZX5wEAAACA2a+urm5W7gTetGlTOjo68tWvfjUtLS1561vfmu3bt+eNb3xj\nfuEXfiEvvfRSent7s3nz5nz4wx/OBz7wgXz5y1/OO9/5zjzwwAP5xCc+cU3WeanXr9Y3esK68hzd\newYAAAAAMPfU1dXl53/+5/OmN70pr3zlK7N58+b81m/9ViqVyvAbADY1NeWv//qv89nPfjadnZ35\nwhe+kLe85S1pmuVv7mRnM8wjO3fu9M6xUECGoIwMQRkZgjIyBOXmW45m687mq7Vt27Z88IMfzC/+\n4i9ek+ezsxkAAAAAYB745je/mUOHDqW/vz+f//zn89RTT+XNb37zTC/rsuxsBgAAAADmnbm+s/mP\n/uiP8tGPfjTnzp3LK1/5yvzO7/xO/uE//IfX7PmvZmezYjMAAAAAMO/M9WLzTNNGA65zO3funOkl\nwJwmQ1BGhqCMDEEZGYJyckQpxWYAAAAAAIppowEAAAAAzDvaaJTRRgMAAAAAgBmh2AzziN5KUEaG\noIwMQRkZgjIyBOXkiFKKzQAAAAAAFNOzGQAAAACYd2Zrz+ZNmzbls5/9bN7whjcMz+3cuTPvec97\n8tJLL2XLli158cUXkyTd3d1pbGzMggULkiTnzp1LW1tbkqSnpyd1dXVpampKkrznPe/JO9/5zuHH\nGW/Hjh158MEHh++fJDfddFMef/zxCdepZzMAAAAAwCxWV1c3VLCd0NNPP52urq50dXXl/vvvz7/5\nN/9meDw4ODh8/fM///N54IEHhsd/+Id/eMXnHX3/rq6uSxaar5ZiM8wjeitBGRmCMjIEZWQIysgQ\nlJOj2elyu7Nn285txWYAAAAAgFnqcrugX67pLk4rNsM8sn379pleAsxpMgRlZAjKyBCUkSEod93l\nqK5uao45olKp5NOf/nQ6OzuHj/e+971T+hwLpvTRAAAAAADmglnWgmK61dXV5cMf/nA+8YlPTNtz\n2NkM84jeSlBGhqCMDEEZGYIyMgTl5IhSdjYDAAAAAFxDvb29uXDhwvC4v7//kve9VJ/ly/Vf7unp\nGXP7woULU6lUpr1ns2IzzCPXXW8lmGIyBGVkCMrIEJSRISgnR9fOT//0T48Z/8RP/MQl3wjwcvPj\nb6urq8v+/fvT0tIyZu7ZZ59NXV1dPvWpT+UP/uAPhm9raWnJkSNHrvbTuHhNU/ZIU6My3dV1AAAA\nAGD+q6urm/advPPZpV6/WoF7wrqyns0wj+itBGVkCMrIEJSRISgjQ1BOjiil2AwAAAAAQDFtNAAA\nAACAeUcbjTLaaAAAAAAAMCMUm2Ee0VsJysgQlJEhKCNDUEaGoJwcUUqxGQAAAACAYno2AwAAAADz\njp7NZa6mZ/OCaV4TAAAAAMA119nZOVQY5Sp0dna+7I/RRgPmEb2VoIwMQRkZgjIyBGVkCMrNtxyd\nOHEilUrFcZXHiRMnXvZrrtgMAAAAAECx2baPXM9mAAAAAIBZ6nI9m+1sBgAAAACgmGIzzCPzrbcS\nXGsyBGVkCMrIEJSRISgnR5RSbAYAAAAAoJiezQAAAAAATIqezQAAAAAATCvFZphH9FaCMjIEZWQI\nysgQlJEhKCdHlFJsBgAAAACgmJ7NAAAAAABMip7NAAAAAABMK8VmmEf0VoIyMgRlZAjKyBCUkSEo\nJ0eUUmwGAAAAAKCYns0AAAAAAEyKns0AAAAAAEwrxWaYR/RWgjIyBGVkCMrIEJSRISgnR5RSbAYA\nAAAAoJiezQAAAAAATIqezQAAAAAATCvFZphH9FaCMjIEZWQIysgQlJEhKCdHlFJsBgAAAACgmJ7N\nAAAAAABMip7NAAAAAABMK8VmmEf0VoIyMgRlZAjKyBCUkSEoJ0eUUmwGAAAAAKCYns0AAAAAAEyK\nns0AAAAAAEwrxWaYR/RWgjIyBGVkCMrIEJSRISgnR5RSbAYAAAAAoJiezQAAAAAATIqezQAAAAAA\nTCvFZphH9FaCMjIEZWQIysgQlJEhKCdHlFJsBgAAAACgmJ7NAAAAAABMip7NAAAAAABMK8VmmEf0\nVoIyMgRlZAjKyBCUkSEoJ0eUUmwGAAAAAKDYZHo2/0mSf5TkSJLba3P/LMkvJTlaG/8fSb5au/5I\nkvclGUjyq0m+Vpu/K8nnkixM8pUkvzbBc+nZDAAAAAAwS5X2bP7TJG8eN1dJ8vtJ7qwdQ4XmW5P8\nbO385iR/OOqJP5PknybZXDvGPyYAAAAAAHPUZIrNDyU5OcH8RNXrtyV5MElfkr1JnkuyLcmaJO1J\ndtXu92dJ3v4y1wpcgd5KUEaGoIwMQRkZgjIyBOXkiFIlPZs/lOSJJJ9NsqQ2tzbJvlH32Zdk3QTz\n+2vzAAAAAADMAwuu8uM+k+QTtetPJvm9VFtkFNuxY0c2bdqUJFmyZEm2bt2a7du3Jxn57YqxsfGl\nx0Nmy3qMjY2Nja+f8fbt22fVeoyN59p4aG62rMfYeC6Oh8yW9Rgbz7Xx9u3bZ9V6jGfHePfu3Tl1\n6lSSZO/evbmcybxBYJJsSvI3GXmDwEvd9hu1ud+tnf8uyceSvJDkvyX5sdr8u5K8LskHxj2WNwgE\nAAAAAJilSt8gcCJrRl2/I8mTtev/lOTnkjQluTHVNwLcleRQkjOp9m+uS/KeJF+6yucGLmHot0/A\n1ZEhKCNDUEaGoIwMQTk5otRk2mg8mOou5OVJXkp1p/L2JFuTVJL8KMn/WLvvM0n+snbuT/LB2n1S\nu/5ckpYkX0l11zMAAAAAAPPAZNtoXCvaaAAAAAAAzFLT0UYDAAAAAACGKTbDPKK3EpSRISgjQ1BG\nhqCMDEE5OaKUYjMAAAAAAMX0bAYAAAAAYFL0bAYAAAAAYFopNsM8orcSlJEhKCNDUEaGoIwMQTk5\nopRiMwAAAAAAxfRsBgAAAABgUvRsBgAAAABgWik2wzyitxKUkSEoI0NQRoagjAxBOTmilGIzAAAA\nAADF9GwGAAAAAGBS9GwGAAAAAGBaKTbDPKK3EpSRISgjQ1BGhqCMDEE5OaKUYjMAAAAAAMX0bAYA\nAAAAYFL0bAYAAAAAYFopNsM8orcSlJEhKCNDUEaGoIwMQTk5opRiMwAAAAAAxfRsBq57lUol5/rO\n5fSF0znTcyane6rnMz1n0tXTlcHK4JQ/Z1NDU9qb29Pe1D58XtS0aPi6ob5hyp/zWhsYHEhXb9fw\nazn6ONt7Nv69v/6sbFuZW5bfkhuX3JjGhsaZXg4AAABX4XI9mxdc26Vc2cP7Hp7pJQBzVKVSyYX+\nC8PF4vHF40vNd/V0pXlBcxY3L05Hc0cWL6ydmxdnUdOiNNRNfeG3Z6AnXb1d6erpuuh8ru9cmhua\nLypGtzfXCtJNF8+Pvr1lQcvQP/xTon+wP109I0Xj0cX4y82d7zufRU2L0tHcMfx6Dl23NbWl3h/X\nXFcqqeTg2YPZc2xPDnQdyA1Lbsgty27JLctuyc3Lbs4ty6vXK9tWTun/vwAAAFw7s+2nucq2P9o2\n02uAOevMnjPpuKVjppcxo1oaWy4qbE5URB497mjuyIL62fO7t0qlkvN95y9ZjD7be3bi22rXF/ov\nTOl6Guobhl+njqaOketxr+H417utqS31dXOroLxz585s3759ppcx713ov5DnTzyfPcf3ZM+xPXn2\nxLPZc2xP9hzfk4HBgeHi881LR4rQm5dtTmtj60wvnSuQISgjQ1BGhqCcHDEZc2tn8y/Z2QxXyxeF\n+aGuri5tTW1pa2rL6kWrZ3o5MOUWLliYLSu3ZMvKLRfddvz88ZEi9PFn88Wnvpg9x/fkhyd/mBWt\nKy4qQq/rWJelLUuzrGVZmhc0z8BnAwAAwJBZt7NZD08AYLyBwYG8cPqF4SL0nuPVndCHzh7K8fPH\nc6L7RBobGrOsZVm1+Ny6bLgIvbRl6Zjr0bd1tnSmqaFppj89AACAOeNyO5sVmwGAOa9SqeRs79mc\n6D6R493V4vOJ7hPDheihueHz+ZH7tDS2jClE37r81ty77t7cu+7e3LT0Jj2kAQAARlFshuuENhpQ\nRoauP5VKJWd6zgwXoo+dP5YnDz+ZXQd25dH9j+ZMz5ncvfbu4eLzPWvvyZr2NTO97FlLhqCMDEEZ\nGYJycsRkzKmezQAA10pdXV0WL1ycxQsX58bOG5Mkb77pzcO3Hz57OI8eeDS79u/KZ/7+M3nv/vem\ntbG1Wnxee2/uWXdP7l57dzqar+83ZwUAAEjsbAYAmLRKpZIfnvxhdu3fNVyE3n1odzYu3ph71t2T\ne9dWd0C/atWrvGEhAAAwL2mjAQAwTfoG+vL00afz6P5q8XnXgV157sRz2bJiS+5dd2/uXH1nlixc\nkramtrQ2to452hqrcy2NLamvq5/pTwUAAOCKFJvhOqG3EpSRIabKud5zefzQ49m1f1e+e/i76ert\nyrnecznfd374ONc3Mu7u607zgubh4vNwMXp8gXpBda6tsS0dzR1XPK717moZgjIyBGVkCMrJEZOh\nZzMAwDXU1tSW1258bV678bWTuv9gZTAX+i+MFKIvU5g+33c+Z3vP5kT3iew9tTdnes/kTM/IcfrC\n6eq553Tq6+ovXYxuunhuqJA9vtBtBzYAADAZdjYDAMxDlUolPQM9YwrRlztO95weU+geXeAemrvQ\nfyELFyycsBA9YXF6QUsW1C/IgvoFaWxoHL4uOdqb2vOKzleksaFxpl9iAAC4LmmjAQBAscHKYLr7\nuscUo0fvwh4/193fnf7B/ik9Tl04lf1d+3Pzsptz+8rbc9vK24bPGxdvHPrGFwAAmCaKzXCd0FsJ\nysgQlLlWGTrfdz7PHH0mTx15Kk8efjJPHa2ez/Wdy20rb8ttK27L7atGCtHLWpdN+5pgKvg6BGVk\nCMrJEZOhZzMAAPNGa2Nr7l57d+5ee/eY+ePnj1cL0EeezFNHnsqDTz2Yp448lbbGtjE7oG9fdXtu\nXXFrWhtbZ+gzAACA+cnOZgAA5q1KpZKXzrw0vAt6qBD97PFns65jXW5feXtuX3l7tq3flm3rttkF\nDQAAV6CNBgAAjNI30JfnTjyXJ488mScOPZFH9j+SRw88mlVtq3Lf+vuGj9tX3u7NCAEAYBTFZrhO\n6K0EZWQIysz1DA0MDuR7x76Xh/c9PHzsPbU3r17z6jEF6LXta2d6qcxTcz1DMNNkCMrJ0dw19Bd9\nTx95Os8cfSZPH62e+wf7s7RlaZa2LM2ylmXD10tblmZZ67Ixt3W2dGZB/ZW7LuvZDAAAV9BQ31B9\ng8GVt+WXXv1LSZLTF07n0QOP5uF9D+dPHv+T/PLf/HJaG1vHFJ9fvebVWbhg4QyvHgCAwcpgjp8/\nnkNnD+XQ2UM53n08vQO9Y46e/p6L5noHetMzcPH8RHMtC1qyvmN91nesz4aODcPX6zvWZ2372jQv\naJ7Wz3GiovLTR5/O945+L4uaFmXLyi25dfmtuW/9fXnfne/LwgULc/z88ZzoPpET3SdyvPt4Xjj9\nQh4/9HiOd4/Mn+g+kZPdJ9PW1DZxYXrU+HLsbAYAgEmqVCp5/uTzY3Y/f+/Y97JlxZYxBegbl9w4\ntOMDAIAClUolZ3vPDheQLzrOjVwfPXc0ixcuzupFq7N60eosbVma5obmNDU0pamhacz16KN5wSXm\nJ7j/ub5z2Xdm34THwbMHs2ThkouK0OOPyWxUmGxRecvKLdmyYktuXXFrOls6i17rwcpgzvScqRal\nxxWoR1//+3/87xNtNAAAYOqd7zufxw4+Nlx8/va+b+ds79lsXro5m5dtzk2dN2Xzss3D42Uty2a0\nEN3d1539Xfuz78y+9A705u61d19xhwoAwFTqG+jLmZ4zOd1zesxO5IkKyIfOHkqSrFm0ZriIfKlj\nVduqGX2/jYHBgRw5d+TiQnTXvrx0+qXsO7Mv+7v2p6O5Y6T43F49r+tYl+Pnjw+3v3jm6DPTVlQu\npWczXCf0VoIyMgRlZGjEie4T+cHxH+QHJ34wcq5d19XVDReeNy+tHjctrRakS4u+53rH7rR56cxL\nF/2wc7b3bNZ1rMv6jvWpr6vPdw58J6sXrR7elb1t3ba8atWrvDHiDJAhKCNDUO5KOapUKrnQfyGn\ne07n9IXTwwXj0xdOX3Juovv0DvSmo7kjixcuztKWpVcsJC9qWnTtXoRpNlgZzLHzx0a+X6sVofd1\n7cvShUtnVVH5UvRsBgCAa2hpy9JsW78t29ZvGzNfqVRy7PyxPHfiueHi8988+zfD140NjRcVooeu\n6+rqxhZGEGXaAAAdSElEQVSSR/1gMjTX099z0Z9p3rHqjvyjzf9oeLy8dfmYndXj3xjxM3//mfzo\n5I+ydfXWMQXo9R3rtQYB4Lp0of9Cnj7ydA6ePZgVrSuysm1lVi1aldbG1ple2oT6Bvpyvu/8hMe5\nvnOXvq33XJ5/7Pn8u+P/7qL5rt6u4UJyfV39cKF4cfPiMeeOpur8uvZ1uXXFrVncvHjC+7Y2tl63\n31fU19VnZdvKrGxbmVevefVML2fKzbb/qnY2AwBwXapUKjly7siY3dCji9JJsmHxhov+5HJ9x/rh\n+c6FnVPyg9uZnjP5+wN/P6Y3dWND43Dh+b719+WuNXelramt+LkAYDY5fPZwnjj8RHYf2p0nDj+R\nJw49kedPPp/NSzdnfcf6HDt/LEfOHcnhc4fTUNeQVYtWVYvPbePO4+Y7WzpTX1f/stbSO9A7pnfu\n8e7jF19fqN4+1FP3+Pnj6RvsS1tjW1obW4ePtqax49bG1rQuuMT80MfUHqOlsSXtTe3DheLpfgM8\nZj9tNAAAYA4b+h55pnYAVSqV7D21Nw/veziP7H8kD+97OE8eeTI3L7s59627L9vWVwvQNy+7+bI/\nSA/96e3Z3rPp6u1KV0/XhNdne8+mq6dr+LqtsS33rLsn29Ztyy3Lb3nZP6wDwHj9g/3Zc2zPcEF5\n9+HdeeLQE+kb7Msdq+7IHavuyNbVW3PH6jvyY8t/7KIC69Cb1h0+d7hafD57eLgIPeZcmz/bezbL\nW5dfVIhuWdAyUjweVTA+3n08F/ovZGnL0ixtWZplLcuyrHXZ8PVEc0PXLQtarttdw1wbis1wndCj\nDMrIEJSRoetLT39Pdh/aXd35vP/hPLLvkZy8cDL3rL0nrY2tlywmN9Q3pL2pPe3N7VnUtOii64nm\nTl04lUcPPJpH9j+SY+eP5e61d+fetffm3nXVY13Hupl+OaaEDEEZGeJSTl04lScOPTFcWH7i8BN5\n5ugzWd+xvlpQXnVH7lhdLS6va183LYXa3oHeHD139KIidHd/99gCcuuy4euO5o5rXjSWIyZDz2YA\nAGBKNS9oHu5L/Wv5tSTVPz1+9MCj6Rvom7CYvKhpUZoamoqe99j5Y3l0/6PZtX9X/vjxP84v/+0v\np7mhebjwfO+6e3P32rvT0dwxFZ8mwHWlUqmku787Z3vPDv+VydD1hf4LaWxoTFNDUxrrq+emhqaL\n5obGQ3ONDY1X/Rcpg5XBXOi/MOHR3dd96dv6u3Pqwqk8eeTJPHHoiRzvPp7bV96eO1bdkXvX3Zv3\n3/X+3Lbytmv6pnNNDU1Z17Fu3vyCFC7FzmYAAGDOGmrx8cj+R7Jr/67s2r8ruw/tzsbFG4eLz9vW\nbcvtq24vLnQDzFaVSiWne04P75g9dv7YmLZEQwXj0e2KLjVuamga89cmi5oWpb25Pc0Nzekb7Evf\nQF96B3rTN1g718aXm2uoa7hkcbqpoSn1dfXpGei5qGjcN9CXhQsWXnS0NLZMOL+wYeS29qb2bFm5\nJXesuiOvXPpKLZhgCmmjAQAAXDf6Bvry9NGnh4vPj+x/JD88+cO8atWrhttv3LX2riv2mAaYSf2D\n/SNvRjeqH/Dhs4dz5PzFPYKbG5qH+wEvb12ejuaOLGocKRYPF47HFZFHz7c1tWVB/dT+EXylUkn/\nYP9wIXp0MXpobmBwYMLicVNDk97DMAspNsN1Qm8lKCNDUEaGmM26erry2MHHqgXoA7vynQPfybHz\nx7J19dbcteauvHrNq3PX2rtyy7Jb0lDfMCNrlCEocy0ydOTckZzsPpn+wf5LHn2DfZe9ffh+A9X7\n9Qz05Nj5YyOF5FoB+WT3ySxtWTpcQF7VNvKmcuPfZG5l28q0NLZM6+fO9cHXIiZDz2YAAOC61t7c\nntdtel1et+l1w3Mnuk/ksYOP5bGDj+Vvf/C3+fg3Pp5DZw/ljtV35K41d1WPtXflHyz/B1O+0w+Y\n/SqVSn506kf55gvfzEMvPJRvvvjNHDt/LCtaV2RB/YI0NjRmQf2CKx6N9Ze/X1NDU25YfEPuWXvP\nmALystZl/u0B5hw7mwEAAGpOXTiVxw8+nu8c/E6+c/A7eezgY9l/Zn9uX3X7yA7oNXfl1hW3prGh\ncaaXC0yhwcpgnjn6zHBh+ZsvfDOVSiU/ecNPDh+3rrhV+x3guqeNBgAAwFU603NmuAD92MHH8p2D\n38kLp17IbStvG979fNeau3LL8lvS2tg608sFJql/sD+PH3y8unP5xYfy0IsPpXNh53Bh+f6N9+cV\nna/QMxhgHMVmuE7orQRlZAjKyBDXk66erjxx+Il858DIDujnTjyXZa3L8srOV+ampTeNnJe+Mq/s\nfGU6Wzov+5gyBGWulKHuvu7s2r8rD734UL75wjfz8L6Hs2nJpuHC8v033J+17Wuv3YJhFvK1iMnQ\nsxkAAGAKtTe357UbX5vXbnzt8NzA4ED2d+3Pcyeey/Mnns/zJ5/Pf3jmP+T5k8/nuRPPpamhacJC\n9E1Lb8qqtlUz+NnA/FKpVHLqwqnsO7Mve0/tzbf3fTsPvfhQHj/4eG5beVvu33h/PnTvh/LFf/LF\nLG1ZOtPLBZhX7GwGAACYZpVKJUfPH83zJ6qF56EC9NC5u687r+h8xZhC9OZlm3Priluzqm2VP+OH\nmsHKYI6eO5p9Z/Zlf9f+7Duzb8wxNNdY35h1HeuyoWNDtq3blp+84Sdz3/r70tbUNtOfAsCcp40G\nAADALHam58xFhehnjz+bZ44+k0oq2bJiS/VYOXJe2bZyppcNU6p/sD8Huw5etpB8oOtAOpo7sr5j\nffVoX591HetGxh3rs659Xdqb22f60wGYtxSb4TqhtxKUkSEoI0NQZqIMVSqVHDl3JE8ffTpPH3m6\neq5dN9Q3TFiEXt66fGY+AXgZDnYdzOOHHs/uQ7uHzy+ceiEr2lYMF4xHF5CHjrXta7NwwcIJH9PX\nISgnR0yGns0AAABzUF1dXVYtWpVVi1bl9Te+fni+Uqnk0NlDw4Xn3Yd25wtPfiFPH3k6zQuaJyxC\n603LTBisDOa5E8/l8YNjC8t9g325c/WduXP1nXn7LW/Px7d/PJuXbk5jQ+NMLxmAAnY2AwAAzBOV\nSiUHug5ctBP6maPPpLWxNRsXb0x9Xf2UP29jfWOaGpqGj+YFzdXr+nHj0fdpmGCudr+2xrbctPSm\nrOtYNy3rZXr09PfkqSNPDReVHz/0eL57+LtZ3ro8W1dvzZ2r7xw+r+9Yrxc5wByljQYAAMB1rFKp\nDPe9nfLHTiX9g/3pHehN70Bvevp7hq9HHz0DF88P33dw7PzpC6fz3InncrrndDYv3Zxblt+SW5ZV\nj5uX3Zxblt+SjuaOKf9cmLxTF05l96HdI4Xlg4/nByd+kM1LN48pLG9dvTWdLZ0zvVwAppBiM1wn\n9FaCMjIEZWQIysjQxc70nMmzx5/NnmN7qufje7LnePW6o7mjWniuFaFvWV4tRN+45EatGKZAV09X\nXjj9Ql449UJeOP1CXjz94pjxmZ4zedWqV2Xrqq25c021sHzbytsu2U/5WpAhKCdHTIaezQAAAMw5\nHc0duXvt3bl77d1j5gcrgznQdSB7jlWLz3uO7cnXf/j1PHv82RzoOpBNSzaNFKJrReiblt6UFa0r\nFKIz8saT4wvIQ9cvnn4xPQM92bh4Y25YfEP1WHJD3rL5LdW5JTdkXfu6NNQ3zPSnAsAsY2czAAAA\n88aF/gt57sRzwzuih3ZDP3/i+ZzoPpH25vYsb12eFa0rxp7bJh63N7XPid7CQ+1HTl04ddFx+Nzh\nMQXlF0+/mLbGttywpFpIHi4qLxkpLC9rWTYnPm8Arj1tNAAAALjuDVYGc7L7ZI6dP5Zj54/l6Pmj\n1fO5o2PGo697B3ovLkK3VM9LFi5JY31jGhsas6B+wYRHY/1lbpvg4873nZ+wYDz6OHnh5EVzvQO9\nWbJwycVH85KsaFsxUlReUj0valo00/85AJijFJvhOqG3EpSRISgjQ1BGhman7r7uHO8+PlyQHl2I\nPtl9Mv2D/dWjUj33DfSNzI06+gYvnh9/377BvrQ2tg4XijsXdk5cQJ7gaGtsu+53IssQlJMjJkPP\nZgAAALgKLY0tWd+4Pus71s/0UgBg1pttv/a0sxkAAAAAYJa63M7m+mu7FAAAAAAA5iPFZphHdu7c\nOdNLgDlNhqCMDEEZGYIyMgTl5IhSis0AAAAAABTTsxkAAAAAgEnRsxkAAAAAgGml2AzziN5KUEaG\noIwMQRkZgjIyBOXkiFKTKTb/SZLDSZ4cNbc0ydeTPJvka0mWjLrtI0l+kOT7Sd40av6u2mP8IMm/\nvPolAwAAAAAw20ymZ/P9Sc4m+bMkt9fmPpXkWO38QJLOJL+R5NYkf5HkniTrkvyXJJuTVJLsSvIr\ntfNXkvyrJH837rn0bAYAAAAAmKVKezY/lOTkuLm3Jvl87frzSd5eu35bkgeT9CXZm+S5JNuSrEnS\nnmqhOakWroc+BgAAAACAOe5qezavSrW1RmrnVbXrtUn2jbrfvlR3OI+f31+bB6aQ3kpQRoagjAxB\nGRmCMjIE5eSIUlPxBoGV2gEAAAAAwHVqwVV+3OEkq5McSrVFxpHa/P4kG0bdb32qO5r3165Hz++f\n6IF37NiRTZs2JUmWLFmSrVu3Zvv27UlGfrtibGx86fGQ2bIeY2NjY+PrZ7x9+/ZZtR5j47k2Hpqb\nLesxNp6L4yGzZT3GxnNtvH379lm1HuPZMd69e3dOnTqVJNm7d28uZzJvEJgkm5L8Tca+QeDxJP8i\n1TcGXJKxbxB4b0beIPCmVHc+P5LkV1Pt2/zleINAAAAAAIA5pfQNAh9M8q0ktyR5Kcl7k/xukjcm\neTbJ62vjJHkmyV/Wzl9N8sGMtNj4YJI/TvKDVN84cHyhGSg09Nsn4OrIEJSRISgjQ1BGhqCcHFFq\nMm003nWJ+Z+6xPw/rx3jfScjO6MBAAAAAJhHJttG41rRRgMAAAAAYJYqbaMBAAAAAACXpdgM84je\nSlBGhqCMDEEZGYIyMgTl5IhSis0AAAAAABTTsxkAAAAAgEnRsxkAAAAAgGml2AzziN5KUEaGoIwM\nQRkZgjIyBOXkiFKKzQAAAAAAFNOzGQAAAACASdGzGQAAAACAaaXYDPOI3kpQRoagjAxBGRmCMjIE\n5eSIUorNAAAAAAAU07MZAAAAAIBJ0bMZAAAAAIBppdgM84jeSlBGhqCMDEEZGYIyMgTl5IhSis0A\nAAAAABTTsxkAAAAAgEnRsxkAAAAAgGml2AzziN5KUEaGoIwMQRkZgjIyBOXkiFKKzQAAAAAAFNOz\nGQAAAACASdGzGQAAAACAaaXYDPOI3kpQRoagjAxBGRmCMjIE5eSIUorNAAAAAAAU07MZAAAAAIBJ\n0bMZAAAAAIBppdgM84jeSlBGhqCMDEEZGYIyMgTl5IhSis0AAAAAABTTsxkAAAAAgEnRsxkAAAAA\ngGml2AzziN5KUEaGoIwMQRkZgjIyBOXkiFKKzQAAAAAAFNOzGQAAAACASdGzGQAAAACAaaXYDPOI\n3kpQRoagjAxBGRmCMjIE5eSIUorNAAAAAAAU07MZAAAAAIBJ0bMZAAAAAIBppdgM84jeSlBGhqCM\nDEEZGYIyMgTl5IhSis0AAAAAABTTsxkAAAAAgEnRsxkAAAAAgGml2AzziN5KUEaGoIwMQRkZgjIy\nBOXkiFKKzQAAAAAAFNOzGQAAAACASdGzGQAAAACAaaXYDPOI3kpQRoagjAxBGRmCMjIE5eSIUorN\nAAAAAAAU07MZAAAAAIBJ0bMZAAAAAIBppdgM84jeSlBGhqCMDEEZGYIyMgTl5IhSis0AAAAAABTT\nsxkAAAAAgEnRsxkAAAAAgGml2AzziN5KUEaGoIwMQRkZgjIyBOXkiFKKzQAAAAAAFNOzGQAAAACA\nSdGzGQAAAACAaaXYDPOI3kpQRoagjAxBGRmCMjIE5eSIUgtmegEAs1alknR3V89TrbExaWqa+sed\nCwYHkwsXpud1LdXdnZw7N9OrgJnT2prUzbYua6NUKsn58zO9ipnT0pLUz4G9In19yYIFs/v/pZ6e\npL9/pldxMV+HoIwMQTk5otBs+w6wUvE/NHC1KpXqD49dXcnZsyPn0dcv57Zz56pF4YaGqV9rb2/1\n3NY29li06OK5yR5DH9vcPLU/4Pf3V1+Loddr/Ot3pfnxc+fPV9c4FwomcD2pVKpFws7OZOnSZNmy\nkeNK45aWl/9cZ84kx48nJ05Uj9HX48dD1ydPVn9RN5uLmNOlUkkGBpL165ONGy8+brgh2bCh+nVg\nutdx9Gjy4ovV46WXRq6HjmPHqv/Gr1lz8bF27djxihVT9/WgUql+zTl48MrH0Nd4AABetrrqBpAJ\nvymfbd+pVyov94cVgNGam5P29mrh9VLny902+j6LFk1PoXlIb+9IEffcuas7JvrYnp6pXWd9/cSv\nzfjXcrJzra0KzTBb9fWNFHeHCrwTXY8f19VNXIxuaakWiMcXjk+erP5bMHTfofsPXY8fD113dl7f\nBcILFyYu7o4+2touXYzeuDFZtery/wafPz/xcwzNvfTS2OfYsGHs82zYUC0i9/Ymhw4lBw6MLfKO\nH58+naxcefmC9Jo11a/vkyki19VNXOQefyxden3+0gIAYApc7g0CZ9t3WJXKbPyzapgjdu7cme3b\nt8/0MmDOkiG4CkOtLU6cyM7//J+z/cYbR4rL3d1jC8hDhePrvWg8XcbvOh5/vPBCcurU2N3R7e3J\nvn0j9zl3rlowHl9EHiokT/Xu6aGi9OUK0gcPVn+ROpkicnv71K1tBvg6BGVkCMrJEZNxuWKzns0A\nAFy9urqRdj433ZT44WTm1NVVdwmvXJncfffE9+nuHltc7upKXv/6kYLyihXXdsdvU9PIcwMAMOfZ\n2QwAAAAAwKRcbmezppkAAAAAABRTbIZ5ZOfOnTO9BJjTZAjKyBCUkSEoI0NQTo4opdgMAAAAAEAx\nPZsBAAAAAJgUPZsBAAAAAJhWis0wj+itBGVkCMrIEJSRISgjQ1BOjiil2AwAAAAAQDE9mwEAAAAA\nmBQ9mwEAAAAAmFaKzTCP6K0EZWQIysgQlJEhKCNDUE6OKFVabN6b5LtJHk+yqza3NMnXkzyb5GtJ\nloy6/0eS/CDJ95O8qfC5AQAAAACYJUp7Nv8oyV1JToya+1SSY7XzA0k6k/xGkluT/EWSe5KsS/Jf\nktycZHDUx+rZDAAAAAAwS013z+bxD/zWJJ+vXX8+ydtr129L8mCSvlR3RD+X5N4peH4AAAAAAGZY\nabG5kuoO5b9P8v7a3Kokh2vXh2vjJFmbZN+oj92X6g5nYIrorQRlZAjKyBCUkSEoI0NQTo4otaDw\n438iycEkK1Lt0/z9cbdXaselXHTbjh07smnTpiTJkiVLsnXr1mzfvj3JyP/wxsbGE4937949q9Zj\nbDzXxkNmy3qMjY2Nja+v8e7du2fVeoyN59rYz0PGxsbG0/fv66lTp5Ike/fuzeWU9mwe7WNJzqa6\nw3l7kkNJ1iT5b0n+Qap9m5Pkd2vnv6t9zCOjHkPPZgAAAACAWWq6eja3JmmvXbcleVOSJ5P8pyS/\nWJv/xSRfql3/pyQ/l6QpyY1JNifZVfD8AAAAAADMEiXF5lVJHkqyO9XdyX+b5Gup7lx+Y5Jnk7w+\nIzuZn0nyl7XzV5N8MJdvsQG8TEN/6gBcHRmCMjIEZWQIysgQlJMjSpX0bP5Rkq0TzJ9I8lOX+Jh/\nXjsAAAAAAJhHprJn81TQsxkAAAAAYJaarp7NAAAAAACQRLEZ5hW9laCMDEEZGYIyMgRlZAjKyRGl\nFJsBAAAAACimZzMAAAAAAJOiZzMAAAAAANNKsRnmEb2VoIwMQRkZgjIyBGVkCMrJEaUUmwEAAAAA\nKKZnMwAAAAAAk6JnMwAAAAAA00qxGeYRvZWgjAxBGRmCMjIEZWQIyskRpRSbAQAAAAAopmczAAAA\nAACTomczAAAAAADTSrEZ5hG9laCMDEEZGYIyMgRlZAjKyRGlFJsBAAAAACimZzMAAAAAAJOiZzMA\nAAAAANNKsRnmEb2VoIwMQRkZgjIyBGVkCMrJEaUUmwEAAAAAKKZnMwAAAAAAk6JnMwAAAAAA00qx\nGeYRvZWgjAxBGRmCMjIEZWQIyskRpRSbAQAAAAAopmczAAAAAACTomczAAAAAADTSrEZ5hG9laCM\nDEEZGYIyMgRlZAjKyRGlFJsBAAAAACimZzMAAAAAAJOiZzMAAAAAANNKsRnmEb2VoIwMQRkZgjIy\nBGVkCMrJEaUUmwEAAAD+//bu5dWus4zj+PckJ0VrpeIFiraQTAoq3ovUWk1UkFalgvoPKDgSFAqi\njuxEpCB0oDhRWiqVOqgXGkTFgjUiWC3mmKbVoKUHjK21oCne0GjiYO1iKIUkZ+XkzQqfD2zWu/be\ng9/kYe/97Hc9C4DZzGwGAAAAAOCMmNkMAAAAAMC20myGi4jZSjCPGoJ51BDMo4ZgHjUE86kj5tJs\nhovIxsbG6AiwaGoI5lFDMI8agnnUEMynjphLsxkuIseOHRsdARZNDcE8agjmUUMwjxqC+dQRc2k2\nAwAAAAAwm2YzXEQ2NzdHR4BFU0MwjxqCedQQzKOGYD51xFxrowM8y/3V3tEhAAAAAAB4Tj+u9o0O\nAQAAAAAAAAAAAAAAAAAAAAAXuRuq31S/rT41OAss0e3Vk9VDo4PAQl1V/ah6uDpcfXxsHFic51UP\nVBvVI9Xnx8aBxdpZHaz2jw4CC7RZHWqqoZ+PjQKL9KLqnurXTd/nrh0bB7ZuZ/W7ane1q+lHyitH\nBoIFelv1hjSbYauuqF6/Wl9WHclnEZytS1fH9epn1fUDs8BS3Vx9vbp3dBBYoMeqF48OAQt2Z/WR\n1Xq9unxgFhZsx+gA1Zubms2b1fHqG9X7RwaCBfpJ9ZfRIWDB/tj0Z2fV35r+zX/5uDiwSP9YHS9p\n2kzw54FZYImurN5TfbVaG5wFlkrtwNZc3rSJ7fbV+X+qp8fFYckuhGbzK6rfn3J+dPUcAIywu+lK\ngQcG54Cl2dH0p82TTWNpHhkbBxbntuqT1YnRQWChTlb3VQ9WHx2cBZZmT/VUdUf1y+or/f+qNTgr\nF0Kz+eToAACwclnTnLJPNO1wBs7ciaZxNFdWb6/2DU0Dy/K+6k9Ns2btzISteWvThoEbq4817dIE\nzsx69cbqy6vj36tPD03EYl0IzeY/NN2Y6RlXNe1uBoDzaVf1zequ6juDs8CSPV19t7pmdBBYkOuq\nm5pmzt5dvbP62tBEsDxPrI5PVd9uGtkJnJmjq8cvVuf3NDWdYZHWq0ebLlu+JDcIhK3anRsEwlat\nNf2ov210EFiolzbdwbzq+dWB6l3j4sCi7a32jw4BC3Np9cLV+gXVT6t3j4sDi3Sgunq1vqW6dVwU\nmO/G6kjTjQI/MzgLLNHd1ePVv5pmoH94bBxYnOubRgBsNF3CfLC6YWgiWJbXNM3326gONc2dBbZm\nb3Xv6BCwMHuaPoM2qsPpK8BWvK5pZ/Ovqm813TQQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA\nAAAAAAAAAAAAAAAAAACA03tJdXD1eKI6ulr/tfrSwFwAAAAAACzUZ6ubR4cAAIALwY7RAQAAYOHW\nVsd91f7V+pbqzupAtVl9oPpCdaj6XrW+et+bqvurB6vvV1dsf1wAANgems0AALA99lTvqG6q7qp+\nWL22+mf13mpX9cXqg9U11R3V54YkBQCAc2D99G8BAADO0smmHcz/rQ43bfL4weq1h6rd1dXVq6v7\nVs/vrB4/rykBAOAc0mwGAIDt8e/V8UR1/JTnTzR9D1+rHq6uO8+5AABgWxijAQAA597a6d/Skepl\n1bWr813Vq7YtEQAAbDPNZgAAmOfkKcfnWves9TPnx6sPVbdWG9XB6i3bFxMAAAAAAAAAAAAAAAAA\nAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFuB/YU0e0eEcwwUAAAAASUVO\nRK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cfa1afd0>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAtMAAAozCAYAAADxX9vUAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nfxWMBproTgAAAABJRU5ErkJggg==\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cdfed790>"
+ ]
+ },
+ {
+ "metadata": {},
+ "output_type": "display_data",
+ "png": "iVBORw0KGgoAAAANSUhEUgAAAscAAAHDCAYAAADIj7elAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n",
+ "text": [
+ "<matplotlib.figure.Figure at 0x7fe2cdb0df50>"
+ ]
+ }
+ ],
+ "prompt_number": 4
+ },
+ {
+ "cell_type": "code",
+ "collapsed": false,
+ "input": [],
+ "language": "python",
+ "metadata": {},
+ "outputs": [],
+ "prompt_number": 4
+ }
+ ],
+ "metadata": {}
+ }
+ ]
+} \ No newline at end of file
diff --git a/doc/trace.txt b/doc/trace.txt
new file mode 100644
index 0000000..d4d4b98
--- /dev/null
+++ b/doc/trace.txt
@@ -0,0 +1,811 @@
+version = 6
+CPU 0 is empty
+CPU 1 is empty
+CPU 2 is empty
+CPU 3 is empty
+CPU 5 is empty
+cpus=6
+ kworker/4:1-51 [004] 1583.765274: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68794 temp=68786
+ kworker/4:1-51 [004] 1583.767518: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=94 dynamic_power=1288 static_power=210
+ kworker/4:1-51 [004] 1583.767538: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={24 19} dynamic_power=250 static_power=178
+ kworker/4:1-51 [004] 1583.767553: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={36 49 48 7} dynamic_power=166 static_power=131
+ kworker/4:1-51 [004] 1583.767558: thermal_power_allocator_pid: thermal_zone_id=0 err=3225 err_integral=0 p=1612 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1583.767563: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1583.767572: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1583.767577: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1583.767580: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1583.767583: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1583.767585: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1583.767587: thermal_power_allocator: thermal_zone_id=0 req_power={1498 428 594} total_req_power=2520 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68775 delta_temperature=3225
+ kworker/4:1-51 [004] 1583.864813: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68786 temp=68781
+ kworker/4:1-51 [004] 1583.866201: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=100 dynamic_power=1364 static_power=210
+ kworker/4:1-51 [004] 1583.866223: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={28 11} dynamic_power=227 static_power=178
+ kworker/4:1-51 [004] 1583.866244: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={32 28 46 44} dynamic_power=178 static_power=131
+ kworker/4:1-51 [004] 1583.866250: thermal_power_allocator_pid: thermal_zone_id=0 err=3231 err_integral=0 p=1615 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1583.866256: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1583.866259: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1583.866264: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1583.866267: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1583.866270: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1583.866272: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1583.866275: thermal_power_allocator: thermal_zone_id=0 req_power={1574 405 618} total_req_power=2597 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68769 delta_temperature=3231
+ kworker/4:1-51 [004] 1583.965231: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68781 temp=68782
+ kworker/4:1-51 [004] 1583.966169: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=95 dynamic_power=1480 static_power=229
+ kworker/4:1-51 [004] 1583.966188: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={20 24} dynamic_power=256 static_power=178
+ kworker/4:1-51 [004] 1583.966203: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={28 44 42 33} dynamic_power=174 static_power=131
+ kworker/4:1-51 [004] 1583.966208: thermal_power_allocator_pid: thermal_zone_id=0 err=3197 err_integral=0 p=1598 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1583.966213: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1583.966216: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1583.966222: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1583.966224: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1583.966228: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1583.966229: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1583.966231: thermal_power_allocator: thermal_zone_id=0 req_power={1709 434 610} total_req_power=2753 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68803 delta_temperature=3197
+ kworker/4:1-51 [004] 1584.064386: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68782 temp=68835
+ kworker/4:1-51 [004] 1584.065497: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=88 dynamic_power=1364 static_power=229
+ kworker/4:1-51 [004] 1584.065514: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={29 29} dynamic_power=338 static_power=178
+ kworker/4:1-51 [004] 1584.065527: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={52 31 49 8} dynamic_power=166 static_power=131
+ kworker/4:1-51 [004] 1584.065532: thermal_power_allocator_pid: thermal_zone_id=0 err=3137 err_integral=0 p=1568 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.065537: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=2 power=1937
+ kworker/4:1-51 [004] 1584.067035: cdev_update: type=devfreq target=2
+ kworker/4:1-51 [004] 1584.067045: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.067048: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.067052: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.067054: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.067056: thermal_power_allocator: thermal_zone_id=0 req_power={1593 516 594} total_req_power=2703 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68863 delta_temperature=3137
+ kworker/4:1-51 [004] 1584.164920: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68835 temp=68882
+ kworker/4:1-51 [004] 1584.166274: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=93 dynamic_power=1274 static_power=210
+ kworker/4:1-51 [004] 1584.166293: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={36 36} dynamic_power=419 static_power=178
+ kworker/4:1-51 [004] 1584.166307: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={38 39 34 55} dynamic_power=197 static_power=131
+ kworker/4:1-51 [004] 1584.166312: thermal_power_allocator_pid: thermal_zone_id=0 err=3114 err_integral=0 p=1557 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.166316: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.168033: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.168043: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.168047: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.168050: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.168052: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.168055: thermal_power_allocator: thermal_zone_id=0 req_power={1484 597 656} total_req_power=2737 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68886 delta_temperature=3114
+ kworker/4:1-51 [004] 1584.264925: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68882 temp=68907
+ kworker/4:1-51 [004] 1584.265839: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=93 dynamic_power=1278 static_power=210
+ kworker/4:1-51 [004] 1584.265855: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={24 26} dynamic_power=291 static_power=178
+ kworker/4:1-51 [004] 1584.265869: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={46 48 4 28} dynamic_power=149 static_power=131
+ kworker/4:1-51 [004] 1584.265874: thermal_power_allocator_pid: thermal_zone_id=0 err=3069 err_integral=0 p=1534 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.265879: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.265883: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.265887: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.265890: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.265893: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.265895: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.265897: thermal_power_allocator: thermal_zone_id=0 req_power={1488 469 560} total_req_power=2517 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68931 delta_temperature=3069
+ kworker/4:1-51 [004] 1584.374919: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68907 temp=68943
+ kworker/4:1-51 [004] 1584.376011: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=95 dynamic_power=1471 static_power=229
+ kworker/4:1-51 [004] 1584.376029: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={21 23} dynamic_power=256 static_power=178
+ kworker/4:1-51 [004] 1584.376045: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={42 64 20 16} dynamic_power=168 static_power=131
+ kworker/4:1-51 [004] 1584.376050: thermal_power_allocator_pid: thermal_zone_id=0 err=3051 err_integral=0 p=1525 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.376055: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.376058: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.376063: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.376066: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.376070: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.376071: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.376074: thermal_power_allocator: thermal_zone_id=0 req_power={1700 434 598} total_req_power=2732 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68949 delta_temperature=3051
+ kworker/4:1-51 [004] 1584.473635: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68943 temp=68959
+ kworker/4:1-51 [004] 1584.474422: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=89 dynamic_power=1380 static_power=229
+ kworker/4:1-51 [004] 1584.474446: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={33 34} dynamic_power=390 static_power=178
+ kworker/4:1-51 [004] 1584.474464: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={80 41 23 16} dynamic_power=190 static_power=131
+ kworker/4:1-51 [004] 1584.474472: thermal_power_allocator_pid: thermal_zone_id=0 err=3031 err_integral=0 p=1515 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.474478: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=2 power=1937
+ kworker/4:1-51 [004] 1584.477586: cdev_update: type=devfreq target=2
+ kworker/4:1-51 [004] 1584.477602: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.477606: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.477611: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.477613: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.477616: thermal_power_allocator: thermal_zone_id=0 req_power={1609 568 642} total_req_power=2819 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68969 delta_temperature=3031
+ kworker/4:1-51 [004] 1584.575317: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68959 temp=68947
+ kworker/4:1-51 [004] 1584.576621: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=79 dynamic_power=1084 static_power=210
+ kworker/4:1-51 [004] 1584.576643: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={31 32} dynamic_power=367 static_power=178
+ kworker/4:1-51 [004] 1584.576662: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={56 60 10 30} dynamic_power=185 static_power=131
+ kworker/4:1-51 [004] 1584.576668: thermal_power_allocator_pid: thermal_zone_id=0 err=3053 err_integral=0 p=1526 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.576674: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=2 power=1937
+ kworker/4:1-51 [004] 1584.576678: cdev_update: type=devfreq target=2
+ kworker/4:1-51 [004] 1584.576684: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.576688: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.576691: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.576693: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.576696: thermal_power_allocator: thermal_zone_id=0 req_power={1294 545 632} total_req_power=2471 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68947 delta_temperature=3053
+ kworker/4:1-51 [004] 1584.674734: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68947 temp=68950
+ kworker/4:1-51 [004] 1584.675750: thermal_power_devfreq_get_power: type=devfreq freq=487500000 load=95 dynamic_power=1141 static_power=192
+ kworker/4:1-51 [004] 1584.675767: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={22 23} dynamic_power=262 static_power=178
+ kworker/4:1-51 [004] 1584.675781: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={29 25 43 47} dynamic_power=171 static_power=131
+ kworker/4:1-51 [004] 1584.675785: thermal_power_allocator_pid: thermal_zone_id=0 err=3055 err_integral=0 p=1527 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.675790: thermal_power_devfreq_limit: type=devfreq freq=487500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.677314: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.677322: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.677325: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.677328: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.677330: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.677333: thermal_power_allocator: thermal_zone_id=0 req_power={1333 440 604} total_req_power=2377 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68945 delta_temperature=3055
+ kworker/4:1-51 [004] 1584.773997: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68950 temp=68952
+ kworker/4:1-51 [004] 1584.774742: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=229
+ kworker/4:1-51 [004] 1584.774761: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={44 7} dynamic_power=297 static_power=178
+ kworker/4:1-51 [004] 1584.774775: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={33 29 52 20} dynamic_power=159 static_power=131
+ kworker/4:1-51 [004] 1584.774780: thermal_power_allocator_pid: thermal_zone_id=0 err=3047 err_integral=0 p=1523 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.774784: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.774788: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.774792: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.774795: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.774798: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.774800: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.774802: thermal_power_allocator: thermal_zone_id=0 req_power={1776 475 580} total_req_power=2831 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68953 delta_temperature=3047
+ kworker/4:1-51 [004] 1584.873993: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68952 temp=68965
+ kworker/4:1-51 [004] 1584.874742: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=98 dynamic_power=1530 static_power=229
+ kworker/4:1-51 [004] 1584.874759: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={34 26} dynamic_power=349 static_power=178
+ kworker/4:1-51 [004] 1584.874775: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={38 36 93 8} dynamic_power=208 static_power=131
+ kworker/4:1-51 [004] 1584.874780: thermal_power_allocator_pid: thermal_zone_id=0 err=3025 err_integral=0 p=1512 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.874785: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.874788: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.874793: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.874795: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.874798: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.874800: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.874803: thermal_power_allocator: thermal_zone_id=0 req_power={1759 527 678} total_req_power=2964 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68975 delta_temperature=3025
+ kworker/4:1-51 [004] 1584.974544: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68965 temp=68978
+ kworker/4:1-51 [004] 1584.975854: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=93 dynamic_power=1448 static_power=229
+ kworker/4:1-51 [004] 1584.975874: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={33 31} dynamic_power=373 static_power=178
+ kworker/4:1-51 [004] 1584.975889: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={38 35 27 74} dynamic_power=207 static_power=131
+ kworker/4:1-51 [004] 1584.975894: thermal_power_allocator_pid: thermal_zone_id=0 err=3010 err_integral=0 p=1505 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1584.975900: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1584.975903: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1584.975909: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1584.975912: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1584.975915: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1584.975917: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1584.975919: thermal_power_allocator: thermal_zone_id=0 req_power={1677 551 676} total_req_power=2904 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68990 delta_temperature=3010
+ kworker/4:1-51 [004] 1585.075093: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68978 temp=68989
+ kworker/4:1-51 [004] 1585.076259: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1496 static_power=229
+ kworker/4:1-51 [004] 1585.076277: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={22 22} dynamic_power=256 static_power=178
+ kworker/4:1-51 [004] 1585.076291: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={22 24 68 24} dynamic_power=164 static_power=131
+ kworker/4:1-51 [004] 1585.076295: thermal_power_allocator_pid: thermal_zone_id=0 err=2993 err_integral=0 p=1496 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1585.076300: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1585.076303: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.076308: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1585.076310: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.076313: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1585.076315: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.076317: thermal_power_allocator: thermal_zone_id=0 req_power={1725 434 590} total_req_power=2749 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=69007 delta_temperature=2993
+ kworker/4:1-51 [004] 1585.174092: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68989 temp=69007
+ kworker/4:1-51 [004] 1585.175033: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1498 static_power=237
+ kworker/4:1-51 [004] 1585.175052: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={25 26} dynamic_power=297 static_power=185
+ kworker/4:1-51 [004] 1585.175067: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={48 30 7 67} dynamic_power=180 static_power=137
+ kworker/4:1-51 [004] 1585.175072: thermal_power_allocator_pid: thermal_zone_id=0 err=2994 err_integral=0 p=1497 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.175078: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1585.175081: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.175087: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.175090: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.175093: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.175095: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.175097: thermal_power_allocator: thermal_zone_id=0 req_power={1735 482 634} total_req_power=2851 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69006 delta_temperature=2994
+ kworker/4:1-51 [004] 1585.274456: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69007 temp=69008
+ kworker/4:1-51 [004] 1585.275473: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=90 dynamic_power=1396 static_power=237
+ kworker/4:1-51 [004] 1585.275502: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={41 41} dynamic_power=478 static_power=185
+ kworker/4:1-51 [004] 1585.275521: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={92 44 11 17} dynamic_power=195 static_power=137
+ kworker/4:1-51 [004] 1585.275527: thermal_power_allocator_pid: thermal_zone_id=0 err=2992 err_integral=0 p=1496 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.275532: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=2 power=1944
+ kworker/4:1-51 [004] 1585.277245: cdev_update: type=devfreq target=2
+ kworker/4:1-51 [004] 1585.277260: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.277263: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.277267: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.277269: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.277271: thermal_power_allocator: thermal_zone_id=0 req_power={1633 663 664} total_req_power=2960 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69008 delta_temperature=2992
+ kworker/4:1-51 [004] 1585.385163: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69008 temp=68996
+ kworker/4:1-51 [004] 1585.386673: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=100 dynamic_power=1364 static_power=210
+ kworker/4:1-51 [004] 1585.386697: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={22 13} dynamic_power=204 static_power=178
+ kworker/4:1-51 [004] 1585.386716: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={25 25 63 32} dynamic_power=172 static_power=131
+ kworker/4:1-51 [004] 1585.386721: thermal_power_allocator_pid: thermal_zone_id=0 err=3032 err_integral=0 p=1516 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1585.386727: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1585.388276: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.388286: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1585.388289: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.388292: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1585.388295: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.388297: thermal_power_allocator: thermal_zone_id=0 req_power={1574 382 606} total_req_power=2562 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=68968 delta_temperature=3032
+ kworker/4:1-51 [004] 1585.484008: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68996 temp=68990
+ kworker/4:1-51 [004] 1585.484755: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=229
+ kworker/4:1-51 [004] 1585.484773: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={46 54} dynamic_power=583 static_power=178
+ kworker/4:1-51 [004] 1585.484787: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={69 45 18 7} dynamic_power=165 static_power=131
+ kworker/4:1-51 [004] 1585.484793: thermal_power_allocator_pid: thermal_zone_id=0 err=2997 err_integral=0 p=1498 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1585.484797: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1937
+ kworker/4:1-51 [004] 1585.484801: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.484805: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1344
+ kworker/4:1-51 [004] 1585.484808: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.484811: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=607
+ kworker/4:1-51 [004] 1585.484813: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.484816: thermal_power_allocator: thermal_zone_id=0 req_power={1776 761 592} total_req_power=3129 granted_power={1937 1344 607} total_granted_power=3888 power_range=3888 max_allocatable_power=3888 current_temperature=69003 delta_temperature=2997
+ kworker/4:1-51 [004] 1585.584353: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=68990 temp=69007
+ kworker/4:1-51 [004] 1585.585611: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=98 dynamic_power=1527 static_power=237
+ kworker/4:1-51 [004] 1585.585627: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={52 33} dynamic_power=495 static_power=185
+ kworker/4:1-51 [004] 1585.585641: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={41 37 40 7} dynamic_power=148 static_power=137
+ kworker/4:1-51 [004] 1585.585645: thermal_power_allocator_pid: thermal_zone_id=0 err=2997 err_integral=0 p=1498 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.585650: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1585.585653: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.585658: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.585663: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.585666: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.585668: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.585671: thermal_power_allocator: thermal_zone_id=0 req_power={1764 680 570} total_req_power=3014 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69003 delta_temperature=2997
+ kworker/4:1-51 [004] 1585.683966: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69007 temp=69026
+ kworker/4:1-51 [004] 1585.685239: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1585.685256: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={49 55} dynamic_power=606 static_power=185
+ kworker/4:1-51 [004] 1585.685270: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={42 39 6 7} dynamic_power=111 static_power=137
+ kworker/4:1-51 [004] 1585.685275: thermal_power_allocator_pid: thermal_zone_id=0 err=2948 err_integral=0 p=1474 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.685279: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1585.685283: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.685288: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.685291: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.685294: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.685296: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.685298: thermal_power_allocator: thermal_zone_id=0 req_power={1784 791 496} total_req_power=3071 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69052 delta_temperature=2948
+ kworker/4:1-51 [004] 1585.784021: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69026 temp=69074
+ kworker/4:1-51 [004] 1585.785277: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1585.785294: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={36 60} dynamic_power=559 static_power=185
+ kworker/4:1-51 [004] 1585.785308: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={44 39 8 45} dynamic_power=161 static_power=137
+ kworker/4:1-51 [004] 1585.785313: thermal_power_allocator_pid: thermal_zone_id=0 err=2897 err_integral=0 p=1448 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.785318: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1585.785321: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.785326: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.785329: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.785332: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.785334: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.785336: thermal_power_allocator: thermal_zone_id=0 req_power={1784 744 596} total_req_power=3124 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69103 delta_temperature=2897
+ kworker/4:1-51 [004] 1585.883996: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69074 temp=69113
+ kworker/4:1-51 [004] 1585.885081: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=99 dynamic_power=1546 static_power=237
+ kworker/4:1-51 [004] 1585.885100: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={45 21} dynamic_power=384 static_power=185
+ kworker/4:1-51 [004] 1585.885116: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={31 26 6 47} dynamic_power=130 static_power=137
+ kworker/4:1-51 [004] 1585.885122: thermal_power_allocator_pid: thermal_zone_id=0 err=2884 err_integral=0 p=1442 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.885126: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1585.885129: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.885135: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.885138: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.885141: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.885143: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.885146: thermal_power_allocator: thermal_zone_id=0 req_power={1783 569 534} total_req_power=2886 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69116 delta_temperature=2884
+ kworker/4:1-51 [004] 1585.984555: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69113 temp=69131
+ kworker/4:1-51 [004] 1585.985671: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1494 static_power=237
+ kworker/4:1-51 [004] 1585.985688: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={65 54} dynamic_power=693 static_power=185
+ kworker/4:1-51 [004] 1585.985704: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={61 57 17 6} dynamic_power=167 static_power=137
+ kworker/4:1-51 [004] 1585.985709: thermal_power_allocator_pid: thermal_zone_id=0 err=2849 err_integral=0 p=1424 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1585.985713: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1585.985716: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1585.985721: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1585.985724: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1585.985727: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1585.985729: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1585.985731: thermal_power_allocator: thermal_zone_id=0 req_power={1731 878 608} total_req_power=3217 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69151 delta_temperature=2849
+ kworker/4:1-51 [004] 1586.085038: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69131 temp=69161
+ kworker/4:1-51 [004] 1586.086494: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1586.086513: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={22 22} dynamic_power=256 static_power=185
+ kworker/4:1-51 [004] 1586.086529: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={40 24 57 19} dynamic_power=166 static_power=137
+ kworker/4:1-51 [004] 1586.086535: thermal_power_allocator_pid: thermal_zone_id=0 err=2847 err_integral=0 p=1423 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1586.086541: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.086545: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.086551: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1586.086554: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.086557: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.086559: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.086561: thermal_power_allocator: thermal_zone_id=0 req_power={1784 441 606} total_req_power=2831 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69153 delta_temperature=2847
+ kworker/4:1-51 [004] 1586.184518: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69161 temp=69155
+ kworker/4:1-51 [004] 1586.185276: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1493 static_power=237
+ kworker/4:1-51 [004] 1586.185295: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={35 46} dynamic_power=472 static_power=185
+ kworker/4:1-51 [004] 1586.185310: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={57 38 38 10} dynamic_power=170 static_power=137
+ kworker/4:1-51 [004] 1586.185316: thermal_power_allocator_pid: thermal_zone_id=0 err=2817 err_integral=0 p=1408 i=0 d=0 output=3908
+ kworker/4:1-51 [004] 1586.185320: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.185324: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.185330: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1351
+ kworker/4:1-51 [004] 1586.185332: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.185336: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.185337: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.185341: thermal_power_allocator: thermal_zone_id=0 req_power={1730 657 614} total_req_power=3001 granted_power={1944 1351 613} total_granted_power=3908 power_range=3908 max_allocatable_power=3908 current_temperature=69183 delta_temperature=2817
+ kworker/4:1-51 [004] 1586.284676: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69155 temp=69203
+ kworker/4:1-51 [004] 1586.285753: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1492 static_power=237
+ kworker/4:1-51 [004] 1586.285769: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={57 48} dynamic_power=612 static_power=185
+ kworker/4:1-51 [004] 1586.285783: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={40 36 17 6} dynamic_power=117 static_power=137
+ kworker/4:1-51 [004] 1586.285789: thermal_power_allocator_pid: thermal_zone_id=0 err=2777 err_integral=0 p=1388 i=0 d=0 output=3888
+ kworker/4:1-51 [004] 1586.285793: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.285796: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.285801: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1332
+ kworker/4:1-51 [004] 1586.285805: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.285807: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.285809: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.285812: thermal_power_allocator: thermal_zone_id=0 req_power={1729 797 508} total_req_power=3034 granted_power={1944 1332 613} total_granted_power=3889 power_range=3888 max_allocatable_power=3908 current_temperature=69223 delta_temperature=2777
+ kworker/4:1-51 [004] 1586.384144: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69203 temp=69229
+ kworker/4:1-51 [004] 1586.385667: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=99 dynamic_power=1539 static_power=237
+ kworker/4:1-51 [004] 1586.385686: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={29 41} dynamic_power=408 static_power=185
+ kworker/4:1-51 [004] 1586.385700: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={56 25 22 6} dynamic_power=129 static_power=137
+ kworker/4:1-51 [004] 1586.385705: thermal_power_allocator_pid: thermal_zone_id=0 err=2759 err_integral=0 p=1379 i=0 d=0 output=3879
+ kworker/4:1-51 [004] 1586.385709: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.385713: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.385718: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1323
+ kworker/4:1-51 [004] 1586.385721: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.385724: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.385726: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.385728: thermal_power_allocator: thermal_zone_id=0 req_power={1776 593 532} total_req_power=2901 granted_power={1944 1323 613} total_granted_power=3880 power_range=3879 max_allocatable_power=3908 current_temperature=69241 delta_temperature=2759
+ kworker/4:1-51 [004] 1586.483938: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69229 temp=69269
+ kworker/4:1-51 [004] 1586.484670: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1504 static_power=237
+ kworker/4:1-51 [004] 1586.484687: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={27 29} dynamic_power=326 static_power=185
+ kworker/4:1-51 [004] 1586.484702: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={44 29 66 7} dynamic_power=173 static_power=137
+ kworker/4:1-51 [004] 1586.484707: thermal_power_allocator_pid: thermal_zone_id=0 err=2707 err_integral=0 p=1353 i=0 d=0 output=3853
+ kworker/4:1-51 [004] 1586.484711: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.484715: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.484720: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1297
+ kworker/4:1-51 [004] 1586.484723: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.484726: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.484728: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.484730: thermal_power_allocator: thermal_zone_id=0 req_power={1741 511 620} total_req_power=2872 granted_power={1944 1297 613} total_granted_power=3854 power_range=3853 max_allocatable_power=3908 current_temperature=69293 delta_temperature=2707
+ kworker/4:1-51 [004] 1586.583667: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69269 temp=69294
+ kworker/4:1-51 [004] 1586.584425: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1494 static_power=237
+ kworker/4:1-51 [004] 1586.584443: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={38 53} dynamic_power=530 static_power=185
+ kworker/4:1-51 [004] 1586.584458: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={42 40 62 12} dynamic_power=185 static_power=137
+ kworker/4:1-51 [004] 1586.584463: thermal_power_allocator_pid: thermal_zone_id=0 err=2695 err_integral=0 p=1347 i=0 d=0 output=3847
+ kworker/4:1-51 [004] 1586.584467: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.584471: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.584476: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1292
+ kworker/4:1-51 [004] 1586.584478: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.584481: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.584483: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.584485: thermal_power_allocator: thermal_zone_id=0 req_power={1731 715 644} total_req_power=3090 granted_power={1944 1292 613} total_granted_power=3849 power_range=3847 max_allocatable_power=3908 current_temperature=69305 delta_temperature=2695
+ kworker/4:1-51 [004] 1586.684805: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69294 temp=69313
+ kworker/4:1-51 [004] 1586.686577: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1498 static_power=237
+ kworker/4:1-51 [004] 1586.686594: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={20 21} dynamic_power=239 static_power=185
+ kworker/4:1-51 [004] 1586.686608: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={26 23 30 66} dynamic_power=172 static_power=137
+ kworker/4:1-51 [004] 1586.686613: thermal_power_allocator_pid: thermal_zone_id=0 err=2683 err_integral=0 p=1341 i=0 d=0 output=3841
+ kworker/4:1-51 [004] 1586.686617: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.686621: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.686626: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1285
+ kworker/4:1-51 [004] 1586.686629: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.686632: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.686634: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.686636: thermal_power_allocator: thermal_zone_id=0 req_power={1735 424 618} total_req_power=2777 granted_power={1944 1285 613} total_granted_power=3842 power_range=3841 max_allocatable_power=3908 current_temperature=69317 delta_temperature=2683
+ kworker/4:1-51 [004] 1586.783948: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69313 temp=69335
+ kworker/4:1-51 [004] 1586.784867: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1495 static_power=237
+ kworker/4:1-51 [004] 1586.784885: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={25 66} dynamic_power=530 static_power=185
+ kworker/4:1-51 [004] 1586.784900: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={29 26 6 3} dynamic_power=76 static_power=137
+ kworker/4:1-51 [004] 1586.784905: thermal_power_allocator_pid: thermal_zone_id=0 err=2657 err_integral=0 p=1328 i=0 d=0 output=3828
+ kworker/4:1-51 [004] 1586.784910: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.784913: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.784918: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1280
+ kworker/4:1-51 [004] 1586.784921: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.784924: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=604
+ kworker/4:1-51 [004] 1586.784926: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.784928: thermal_power_allocator: thermal_zone_id=0 req_power={1732 715 426} total_req_power=2873 granted_power={1944 1280 604} total_granted_power=3828 power_range=3828 max_allocatable_power=3908 current_temperature=69343 delta_temperature=2657
+ kworker/4:1-51 [004] 1586.884422: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69335 temp=69344
+ kworker/4:1-51 [004] 1586.885532: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1509 static_power=237
+ kworker/4:1-51 [004] 1586.885548: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={46 77} dynamic_power=717 static_power=185
+ kworker/4:1-51 [004] 1586.885563: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={55 45 10 5} dynamic_power=136 static_power=137
+ kworker/4:1-51 [004] 1586.885568: thermal_power_allocator_pid: thermal_zone_id=0 err=2669 err_integral=0 p=1334 i=0 d=0 output=3834
+ kworker/4:1-51 [004] 1586.885573: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.885576: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.885581: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1278
+ kworker/4:1-51 [004] 1586.885584: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.885587: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.885589: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.885591: thermal_power_allocator: thermal_zone_id=0 req_power={1746 902 546} total_req_power=3194 granted_power={1944 1278 613} total_granted_power=3835 power_range=3834 max_allocatable_power=3908 current_temperature=69331 delta_temperature=2669
+ kworker/4:1-51 [004] 1586.984772: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69344 temp=69360
+ kworker/4:1-51 [004] 1586.986525: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1586.986543: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={23 43} dynamic_power=384 static_power=185
+ kworker/4:1-51 [004] 1586.986558: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={31 26 34 4} dynamic_power=113 static_power=137
+ kworker/4:1-51 [004] 1586.986563: thermal_power_allocator_pid: thermal_zone_id=0 err=2622 err_integral=0 p=1311 i=0 d=0 output=3811
+ kworker/4:1-51 [004] 1586.986567: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1586.986571: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1586.986575: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1256
+ kworker/4:1-51 [004] 1586.986578: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1586.986581: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1586.986583: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1586.986585: thermal_power_allocator: thermal_zone_id=0 req_power={1784 569 500} total_req_power=2853 granted_power={1944 1256 613} total_granted_power=3813 power_range=3811 max_allocatable_power=3908 current_temperature=69378 delta_temperature=2622
+ kworker/4:1-51 [004] 1587.084057: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69360 temp=69414
+ kworker/4:1-51 [004] 1587.085203: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1499 static_power=237
+ kworker/4:1-51 [004] 1587.085222: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={26 26} dynamic_power=303 static_power=185
+ kworker/4:1-51 [004] 1587.085237: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={30 27 62 34} dynamic_power=182 static_power=137
+ kworker/4:1-51 [004] 1587.085243: thermal_power_allocator_pid: thermal_zone_id=0 err=2568 err_integral=0 p=1284 i=0 d=0 output=3784
+ kworker/4:1-51 [004] 1587.085247: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.085251: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.085256: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1229
+ kworker/4:1-51 [004] 1587.085259: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.085262: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.085264: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.085266: thermal_power_allocator: thermal_zone_id=0 req_power={1736 488 638} total_req_power=2862 granted_power={1944 1229 613} total_granted_power=3786 power_range=3784 max_allocatable_power=3908 current_temperature=69432 delta_temperature=2568
+ kworker/4:1-51 [004] 1587.184112: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69414 temp=69455
+ kworker/4:1-51 [004] 1587.185014: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1503 static_power=237
+ kworker/4:1-51 [004] 1587.185030: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={76 40} dynamic_power=676 static_power=185
+ kworker/4:1-51 [004] 1587.185044: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={46 45 19 10} dynamic_power=142 static_power=137
+ kworker/4:1-51 [004] 1587.185049: thermal_power_allocator_pid: thermal_zone_id=0 err=2533 err_integral=0 p=1266 i=0 d=0 output=3766
+ kworker/4:1-51 [004] 1587.185053: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.185056: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.185062: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1211
+ kworker/4:1-51 [004] 1587.185065: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.185067: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.185069: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.185072: thermal_power_allocator: thermal_zone_id=0 req_power={1740 861 558} total_req_power=3159 granted_power={1944 1211 613} total_granted_power=3768 power_range=3766 max_allocatable_power=3908 current_temperature=69467 delta_temperature=2533
+ kworker/4:1-51 [004] 1587.285312: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69455 temp=69477
+ kworker/4:1-51 [004] 1587.286832: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=99 dynamic_power=1532 static_power=237
+ kworker/4:1-51 [004] 1587.286849: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={61 26} dynamic_power=507 static_power=185
+ kworker/4:1-51 [004] 1587.286865: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={32 22 7 5} dynamic_power=78 static_power=137
+ kworker/4:1-51 [004] 1587.286870: thermal_power_allocator_pid: thermal_zone_id=0 err=2533 err_integral=0 p=1266 i=0 d=0 output=3766
+ kworker/4:1-51 [004] 1587.286875: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.286879: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.286885: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1225
+ kworker/4:1-51 [004] 1587.286888: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.286890: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=598
+ kworker/4:1-51 [004] 1587.286892: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.286895: thermal_power_allocator: thermal_zone_id=0 req_power={1769 692 430} total_req_power=2891 granted_power={1944 1225 598} total_granted_power=3767 power_range=3766 max_allocatable_power=3908 current_temperature=69467 delta_temperature=2533
+ kworker/4:1-51 [004] 1587.384142: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69477 temp=69480
+ kworker/4:1-51 [004] 1587.389791: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1587.389811: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={67 55} dynamic_power=711 static_power=185
+ kworker/4:1-51 [004] 1587.389826: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={59 56 8 6} dynamic_power=153 static_power=137
+ kworker/4:1-51 [004] 1587.389831: thermal_power_allocator_pid: thermal_zone_id=0 err=2506 err_integral=0 p=1253 i=0 d=0 output=3753
+ kworker/4:1-51 [004] 1587.389836: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.389840: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.389845: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1197
+ kworker/4:1-51 [004] 1587.389847: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.389850: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.389852: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.389854: thermal_power_allocator: thermal_zone_id=0 req_power={1784 896 580} total_req_power=3260 granted_power={1944 1197 613} total_granted_power=3754 power_range=3753 max_allocatable_power=3908 current_temperature=69494 delta_temperature=2506
+ kworker/4:1-51 [004] 1587.485247: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69480 temp=69498
+ kworker/4:1-51 [004] 1587.487099: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1587.487118: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={67 24} dynamic_power=530 static_power=185
+ kworker/4:1-51 [004] 1587.487136: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={33 29 9 6} dynamic_power=91 static_power=137
+ kworker/4:1-51 [004] 1587.487141: thermal_power_allocator_pid: thermal_zone_id=0 err=2495 err_integral=0 p=1247 i=0 d=0 output=3747
+ kworker/4:1-51 [004] 1587.487146: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.487150: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.487156: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1203
+ kworker/4:1-51 [004] 1587.487159: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.487161: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=601
+ kworker/4:1-51 [004] 1587.487163: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.487166: thermal_power_allocator: thermal_zone_id=0 req_power={1784 715 456} total_req_power=2955 granted_power={1944 1203 601} total_granted_power=3748 power_range=3747 max_allocatable_power=3908 current_temperature=69505 delta_temperature=2495
+ kworker/4:1-51 [004] 1587.584120: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69498 temp=69513
+ kworker/4:1-51 [004] 1587.585342: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1511 static_power=237
+ kworker/4:1-51 [004] 1587.585358: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={80 49} dynamic_power=752 static_power=185
+ kworker/4:1-51 [004] 1587.585373: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={54 51 9 6} dynamic_power=142 static_power=137
+ kworker/4:1-51 [004] 1587.585378: thermal_power_allocator_pid: thermal_zone_id=0 err=2465 err_integral=0 p=1232 i=0 d=0 output=3732
+ kworker/4:1-51 [004] 1587.585383: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.585387: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.585391: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1177
+ kworker/4:1-51 [004] 1587.585394: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.585397: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.585399: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.585401: thermal_power_allocator: thermal_zone_id=0 req_power={1748 937 558} total_req_power=3243 granted_power={1944 1177 613} total_granted_power=3734 power_range=3732 max_allocatable_power=3908 current_temperature=69535 delta_temperature=2465
+ kworker/4:1-51 [004] 1587.684852: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69513 temp=69556
+ kworker/4:1-51 [004] 1587.686230: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1587.686246: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={44 26} dynamic_power=408 static_power=185
+ kworker/4:1-51 [004] 1587.686261: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={34 27 8 34} dynamic_power=122 static_power=137
+ kworker/4:1-51 [004] 1587.686266: thermal_power_allocator_pid: thermal_zone_id=0 err=2415 err_integral=0 p=1207 i=0 d=0 output=3707
+ kworker/4:1-51 [004] 1587.686270: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.686273: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.686278: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1152
+ kworker/4:1-51 [004] 1587.686281: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.686284: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.686286: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.686288: thermal_power_allocator: thermal_zone_id=0 req_power={1784 593 518} total_req_power=2895 granted_power={1944 1152 613} total_granted_power=3709 power_range=3707 max_allocatable_power=3908 current_temperature=69585 delta_temperature=2415
+ kworker/4:1-51 [004] 1587.783607: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69556 temp=69607
+ kworker/4:1-51 [004] 1587.784344: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1501 static_power=237
+ kworker/4:1-51 [004] 1587.784362: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={61 34} dynamic_power=553 static_power=185
+ kworker/4:1-51 [004] 1587.784376: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={38 34 19 39} dynamic_power=154 static_power=137
+ kworker/4:1-51 [004] 1587.784381: thermal_power_allocator_pid: thermal_zone_id=0 err=2381 err_integral=0 p=1190 i=0 d=0 output=3690
+ kworker/4:1-51 [004] 1587.784386: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.784390: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.784394: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1135
+ kworker/4:1-51 [004] 1587.784397: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.784400: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.784402: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.784405: thermal_power_allocator: thermal_zone_id=0 req_power={1738 738 582} total_req_power=3058 granted_power={1944 1135 613} total_granted_power=3692 power_range=3690 max_allocatable_power=3908 current_temperature=69619 delta_temperature=2381
+ kworker/4:1-51 [004] 1587.883565: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69607 temp=69647
+ kworker/4:1-51 [004] 1587.884279: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1587.884296: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={29 37} dynamic_power=384 static_power=185
+ kworker/4:1-51 [004] 1587.884311: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={36 29 79 12} dynamic_power=185 static_power=137
+ kworker/4:1-51 [004] 1587.884315: thermal_power_allocator_pid: thermal_zone_id=0 err=2341 err_integral=0 p=1170 i=0 d=0 output=3670
+ kworker/4:1-51 [004] 1587.884319: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.884323: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.884328: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1114
+ kworker/4:1-51 [004] 1587.884330: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.884334: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.884336: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.884338: thermal_power_allocator: thermal_zone_id=0 req_power={1784 569 644} total_req_power=2997 granted_power={1944 1114 613} total_granted_power=3671 power_range=3670 max_allocatable_power=3908 current_temperature=69659 delta_temperature=2341
+ kworker/4:1-51 [004] 1587.985047: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69647 temp=69657
+ kworker/4:1-51 [004] 1587.986786: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1502 static_power=237
+ kworker/4:1-51 [004] 1587.986805: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={21 36} dynamic_power=332 static_power=185
+ kworker/4:1-51 [004] 1587.986819: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={25 23 32 20} dynamic_power=119 static_power=137
+ kworker/4:1-51 [004] 1587.986824: thermal_power_allocator_pid: thermal_zone_id=0 err=2338 err_integral=0 p=1169 i=0 d=0 output=3669
+ kworker/4:1-51 [004] 1587.986829: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1587.986832: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1587.986837: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1114
+ kworker/4:1-51 [004] 1587.986840: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1587.986843: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1587.986845: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1587.986847: thermal_power_allocator: thermal_zone_id=0 req_power={1739 517 512} total_req_power=2768 granted_power={1944 1114 613} total_granted_power=3671 power_range=3669 max_allocatable_power=3908 current_temperature=69662 delta_temperature=2338
+ kworker/4:1-51 [004] 1588.084225: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69657 temp=69663
+ kworker/4:1-51 [004] 1588.085970: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=95 dynamic_power=1483 static_power=237
+ kworker/4:1-51 [004] 1588.085988: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={25 26} dynamic_power=297 static_power=185
+ kworker/4:1-51 [004] 1588.086003: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={30 28 64 35} dynamic_power=186 static_power=137
+ kworker/4:1-51 [004] 1588.086009: thermal_power_allocator_pid: thermal_zone_id=0 err=2332 err_integral=0 p=1166 i=0 d=0 output=3666
+ kworker/4:1-51 [004] 1588.086014: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.086017: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.086023: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1111
+ kworker/4:1-51 [004] 1588.086025: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.086029: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.086031: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.086033: thermal_power_allocator: thermal_zone_id=0 req_power={1720 482 646} total_req_power=2848 granted_power={1944 1111 613} total_granted_power=3668 power_range=3666 max_allocatable_power=3908 current_temperature=69668 delta_temperature=2332
+ kworker/4:1-51 [004] 1588.184851: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69663 temp=69685
+ kworker/4:1-51 [004] 1588.186350: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1497 static_power=237
+ kworker/4:1-51 [004] 1588.186368: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={37 60} dynamic_power=565 static_power=185
+ kworker/4:1-51 [004] 1588.186383: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={52 48 11 31} dynamic_power=168 static_power=137
+ kworker/4:1-51 [004] 1588.186388: thermal_power_allocator_pid: thermal_zone_id=0 err=2295 err_integral=0 p=1147 i=0 d=0 output=3647
+ kworker/4:1-51 [004] 1588.186393: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.186396: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.186402: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1092
+ kworker/4:1-51 [004] 1588.186404: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.186407: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.186409: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.186412: thermal_power_allocator: thermal_zone_id=0 req_power={1734 750 610} total_req_power=3094 granted_power={1944 1092 613} total_granted_power=3649 power_range=3647 max_allocatable_power=3908 current_temperature=69705 delta_temperature=2295
+ kworker/4:1-51 [004] 1588.285116: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69685 temp=69710
+ kworker/4:1-51 [004] 1588.286371: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1588.286389: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={22 21} dynamic_power=250 static_power=185
+ kworker/4:1-51 [004] 1588.286403: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={28 23 10 83} dynamic_power=171 static_power=137
+ kworker/4:1-51 [004] 1588.286408: thermal_power_allocator_pid: thermal_zone_id=0 err=2274 err_integral=0 p=1137 i=0 d=0 output=3637
+ kworker/4:1-51 [004] 1588.286413: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.286416: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.286421: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1082
+ kworker/4:1-51 [004] 1588.286424: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.286427: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.286429: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.286431: thermal_power_allocator: thermal_zone_id=0 req_power={1784 435 616} total_req_power=2835 granted_power={1944 1082 613} total_granted_power=3639 power_range=3637 max_allocatable_power=3908 current_temperature=69726 delta_temperature=2274
+ kworker/4:1-51 [004] 1588.383583: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69710 temp=69733
+ kworker/4:1-51 [004] 1588.384530: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=93 dynamic_power=1440 static_power=237
+ kworker/4:1-51 [004] 1588.384549: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={23 24} dynamic_power=274 static_power=185
+ kworker/4:1-51 [004] 1588.384563: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={29 25 54 43} dynamic_power=179 static_power=137
+ kworker/4:1-51 [004] 1588.384568: thermal_power_allocator_pid: thermal_zone_id=0 err=2272 err_integral=0 p=1136 i=0 d=0 output=3636
+ kworker/4:1-51 [004] 1588.384572: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.384576: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.384581: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1080
+ kworker/4:1-51 [004] 1588.384584: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.384587: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.384589: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.384591: thermal_power_allocator: thermal_zone_id=0 req_power={1677 459 632} total_req_power=2768 granted_power={1944 1080 613} total_granted_power=3637 power_range=3636 max_allocatable_power=3908 current_temperature=69728 delta_temperature=2272
+ kworker/4:1-51 [004] 1588.485108: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69733 temp=69714
+ kworker/4:1-51 [004] 1588.486368: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1496 static_power=237
+ kworker/4:1-51 [004] 1588.486386: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={43 47} dynamic_power=524 static_power=185
+ kworker/4:1-51 [004] 1588.486400: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={45 44 84 16} dynamic_power=224 static_power=137
+ kworker/4:1-51 [004] 1588.486405: thermal_power_allocator_pid: thermal_zone_id=0 err=2288 err_integral=0 p=1144 i=0 d=0 output=3644
+ kworker/4:1-51 [004] 1588.486410: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.486413: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.486418: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1088
+ kworker/4:1-51 [004] 1588.486421: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.486424: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.486426: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.486428: thermal_power_allocator: thermal_zone_id=0 req_power={1733 709 722} total_req_power=3164 granted_power={1944 1088 613} total_granted_power=3645 power_range=3644 max_allocatable_power=3908 current_temperature=69712 delta_temperature=2288
+ kworker/4:1-51 [004] 1588.584043: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69714 temp=69700
+ kworker/4:1-51 [004] 1588.585946: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=96 dynamic_power=1486 static_power=237
+ kworker/4:1-51 [004] 1588.585963: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={20 22} dynamic_power=244 static_power=185
+ kworker/4:1-51 [004] 1588.585977: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={26 24 7 83} dynamic_power=166 static_power=137
+ kworker/4:1-51 [004] 1588.585982: thermal_power_allocator_pid: thermal_zone_id=0 err=2319 err_integral=0 p=1159 i=0 d=0 output=3659
+ kworker/4:1-51 [004] 1588.585987: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.585990: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.585995: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1103
+ kworker/4:1-51 [004] 1588.585997: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.586000: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.586003: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.586005: thermal_power_allocator: thermal_zone_id=0 req_power={1723 429 606} total_req_power=2758 granted_power={1944 1103 613} total_granted_power=3660 power_range=3659 max_allocatable_power=3908 current_temperature=69681 delta_temperature=2319
+ kworker/4:1-51 [004] 1588.685462: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69700 temp=69667
+ kworker/4:1-51 [004] 1588.686912: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=93 dynamic_power=1450 static_power=237
+ kworker/4:1-51 [004] 1588.686929: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={21 22} dynamic_power=250 static_power=185
+ kworker/4:1-51 [004] 1588.686944: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={44 22 7 64} dynamic_power=163 static_power=137
+ kworker/4:1-51 [004] 1588.686948: thermal_power_allocator_pid: thermal_zone_id=0 err=2366 err_integral=0 p=1183 i=0 d=0 output=3683
+ kworker/4:1-51 [004] 1588.686954: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.686957: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.686962: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1127
+ kworker/4:1-51 [004] 1588.686966: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.686969: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.686971: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.686974: thermal_power_allocator: thermal_zone_id=0 req_power={1687 435 600} total_req_power=2722 granted_power={1944 1127 613} total_granted_power=3684 power_range=3683 max_allocatable_power=3908 current_temperature=69634 delta_temperature=2366
+ kworker/4:1-51 [004] 1588.787388: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69667 temp=69621
+ kworker/4:1-51 [004] 1588.788239: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=87 dynamic_power=1357 static_power=237
+ kworker/4:1-51 [004] 1588.788258: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={37 40} dynamic_power=448 static_power=185
+ kworker/4:1-51 [004] 1588.788272: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={46 41 84 11} dynamic_power=216 static_power=137
+ kworker/4:1-51 [004] 1588.788277: thermal_power_allocator_pid: thermal_zone_id=0 err=2395 err_integral=0 p=1197 i=0 d=0 output=3697
+ kworker/4:1-51 [004] 1588.788281: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=2 power=1944
+ kworker/4:1-51 [004] 1588.789943: cdev_update: type=devfreq target=2
+ kworker/4:1-51 [004] 1588.789959: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1141
+ kworker/4:1-51 [004] 1588.789963: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.789968: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.789970: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.789973: thermal_power_allocator: thermal_zone_id=0 req_power={1594 633 706} total_req_power=2933 granted_power={1944 1141 613} total_granted_power=3698 power_range=3697 max_allocatable_power=3908 current_temperature=69605 delta_temperature=2395
+ kworker/4:1-51 [004] 1588.884873: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69621 temp=69577
+ kworker/4:1-51 [004] 1588.886209: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=100 dynamic_power=1364 static_power=217
+ kworker/4:1-51 [004] 1588.886226: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={23 23} dynamic_power=268 static_power=185
+ kworker/4:1-51 [004] 1588.886242: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={49 26 37 35} dynamic_power=174 static_power=137
+ kworker/4:1-51 [004] 1588.886247: thermal_power_allocator_pid: thermal_zone_id=0 err=2451 err_integral=0 p=1225 i=0 d=0 output=3725
+ kworker/4:1-51 [004] 1588.886252: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.886261: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.886265: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1170
+ kworker/4:1-51 [004] 1588.886268: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.886271: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.886273: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.886276: thermal_power_allocator: thermal_zone_id=0 req_power={1581 453 622} total_req_power=2656 granted_power={1944 1170 613} total_granted_power=3727 power_range=3725 max_allocatable_power=3908 current_temperature=69549 delta_temperature=2451
+ kworker/4:1-51 [004] 1588.984220: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69577 temp=69546
+ kworker/4:1-51 [004] 1588.985411: thermal_power_devfreq_get_power: type=devfreq freq=525000000 load=100 dynamic_power=1364 static_power=217
+ kworker/4:1-51 [004] 1588.985428: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={21 27} dynamic_power=279 static_power=185
+ kworker/4:1-51 [004] 1588.985442: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={25 46 8 49} dynamic_power=152 static_power=137
+ kworker/4:1-51 [004] 1588.985447: thermal_power_allocator_pid: thermal_zone_id=0 err=2454 err_integral=0 p=1227 i=0 d=0 output=3727
+ kworker/4:1-51 [004] 1588.985451: thermal_power_devfreq_limit: type=devfreq freq=525000000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1588.985455: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1588.985460: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1172
+ kworker/4:1-51 [004] 1588.985463: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1588.985466: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1588.985468: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1588.985471: thermal_power_allocator: thermal_zone_id=0 req_power={1581 464 578} total_req_power=2623 granted_power={1944 1172 613} total_granted_power=3729 power_range=3727 max_allocatable_power=3908 current_temperature=69546 delta_temperature=2454
+ kworker/4:1-51 [004] 1589.084334: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69546 temp=69530
+ kworker/4:1-51 [004] 1589.085278: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=93 dynamic_power=1442 static_power=237
+ kworker/4:1-51 [004] 1589.085297: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={34 44} dynamic_power=454 static_power=185
+ kworker/4:1-51 [004] 1589.085312: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={78 31 28 4} dynamic_power=167 static_power=137
+ kworker/4:1-51 [004] 1589.085318: thermal_power_allocator_pid: thermal_zone_id=0 err=2478 err_integral=0 p=1239 i=0 d=0 output=3739
+ kworker/4:1-51 [004] 1589.085322: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.085325: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.085330: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1183
+ kworker/4:1-51 [004] 1589.085333: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.085336: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.085338: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.085340: thermal_power_allocator: thermal_zone_id=0 req_power={1679 639 608} total_req_power=2926 granted_power={1944 1183 613} total_granted_power=3740 power_range=3739 max_allocatable_power=3908 current_temperature=69522 delta_temperature=2478
+ kworker/4:1-51 [004] 1589.184047: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69530 temp=69509
+ kworker/4:1-51 [004] 1589.185238: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=94 dynamic_power=1463 static_power=237
+ kworker/4:1-51 [004] 1589.185256: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={31 32} dynamic_power=367 static_power=185
+ kworker/4:1-51 [004] 1589.185270: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={47 52 17 44} dynamic_power=190 static_power=137
+ kworker/4:1-51 [004] 1589.185275: thermal_power_allocator_pid: thermal_zone_id=0 err=2491 err_integral=0 p=1245 i=0 d=0 output=3745
+ kworker/4:1-51 [004] 1589.185280: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.185283: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.185289: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1189
+ kworker/4:1-51 [004] 1589.185291: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.185294: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.185296: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.185298: thermal_power_allocator: thermal_zone_id=0 req_power={1700 552 654} total_req_power=2906 granted_power={1944 1189 613} total_granted_power=3746 power_range=3745 max_allocatable_power=3908 current_temperature=69509 delta_temperature=2491
+ kworker/4:1-51 [004] 1589.294165: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69509 temp=69523
+ kworker/4:1-51 [004] 1589.295605: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=94 dynamic_power=1454 static_power=237
+ kworker/4:1-51 [004] 1589.295624: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={20 22} dynamic_power=244 static_power=185
+ kworker/4:1-51 [004] 1589.295638: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={34 74 7 4} dynamic_power=141 static_power=137
+ kworker/4:1-51 [004] 1589.295644: thermal_power_allocator_pid: thermal_zone_id=0 err=2485 err_integral=0 p=1242 i=0 d=0 output=3742
+ kworker/4:1-51 [004] 1589.295649: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.295652: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.295657: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1186
+ kworker/4:1-51 [004] 1589.295660: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.295663: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.295665: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.295668: thermal_power_allocator: thermal_zone_id=0 req_power={1691 429 556} total_req_power=2676 granted_power={1944 1186 613} total_granted_power=3743 power_range=3742 max_allocatable_power=3908 current_temperature=69515 delta_temperature=2485
+ kworker/4:1-51 [004] 1589.394011: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69523 temp=69515
+ kworker/4:1-51 [004] 1589.394765: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=78 dynamic_power=1219 static_power=237
+ kworker/4:1-51 [004] 1589.394782: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={31 35} dynamic_power=384 static_power=185
+ kworker/4:1-51 [004] 1589.394797: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={34 51 5 59} dynamic_power=177 static_power=137
+ kworker/4:1-51 [004] 1589.394803: thermal_power_allocator_pid: thermal_zone_id=0 err=2491 err_integral=0 p=1245 i=0 d=0 output=3745
+ kworker/4:1-51 [004] 1589.394808: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=3 power=1944
+ kworker/4:1-51 [004] 1589.396344: cdev_update: type=devfreq target=3
+ kworker/4:1-51 [004] 1589.396354: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1190
+ kworker/4:1-51 [004] 1589.396357: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.396361: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.396363: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.396365: thermal_power_allocator: thermal_zone_id=0 req_power={1456 569 628} total_req_power=2653 granted_power={1944 1190 613} total_granted_power=3747 power_range=3745 max_allocatable_power=3908 current_temperature=69509 delta_temperature=2491
+ kworker/4:1-51 [004] 1589.493593: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69515 temp=69489
+ kworker/4:1-51 [004] 1589.494325: thermal_power_devfreq_get_power: type=devfreq freq=487500000 load=93 dynamic_power=1115 static_power=199
+ kworker/4:1-51 [004] 1589.494341: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={32 35} dynamic_power=390 static_power=185
+ kworker/4:1-51 [004] 1589.494356: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={40 52 26 50} dynamic_power=199 static_power=137
+ kworker/4:1-51 [004] 1589.494361: thermal_power_allocator_pid: thermal_zone_id=0 err=2532 err_integral=0 p=1266 i=0 d=0 output=3766
+ kworker/4:1-51 [004] 1589.494365: thermal_power_devfreq_limit: type=devfreq freq=487500000 cdev_state=1 power=1941
+ kworker/4:1-51 [004] 1589.495857: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.495866: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1213
+ kworker/4:1-51 [004] 1589.495869: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.495872: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.495874: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.495877: thermal_power_allocator: thermal_zone_id=0 req_power={1314 575 672} total_req_power=2561 granted_power={1941 1213 613} total_granted_power=3767 power_range=3766 max_allocatable_power=3908 current_temperature=69468 delta_temperature=2532
+ kworker/4:1-51 [004] 1589.594736: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69489 temp=69469
+ kworker/4:1-51 [004] 1589.596013: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1589.596030: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={21 24} dynamic_power=262 static_power=185
+ kworker/4:1-51 [004] 1589.596045: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={26 41 31 33} dynamic_power=155 static_power=137
+ kworker/4:1-51 [004] 1589.596050: thermal_power_allocator_pid: thermal_zone_id=0 err=2517 err_integral=0 p=1258 i=0 d=0 output=3758
+ kworker/4:1-51 [004] 1589.596054: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.596058: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.596062: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1202
+ kworker/4:1-51 [004] 1589.596065: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.596068: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.596070: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.596073: thermal_power_allocator: thermal_zone_id=0 req_power={1784 447 584} total_req_power=2815 granted_power={1944 1202 613} total_granted_power=3759 power_range=3758 max_allocatable_power=3908 current_temperature=69483 delta_temperature=2517
+ kworker/4:1-51 [004] 1589.693620: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69469 temp=69478
+ kworker/4:1-51 [004] 1589.694771: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=94 dynamic_power=1457 static_power=237
+ kworker/4:1-51 [004] 1589.694789: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={25 27} dynamic_power=303 static_power=185
+ kworker/4:1-51 [004] 1589.694805: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={34 27 31 63} dynamic_power=184 static_power=137
+ kworker/4:1-51 [004] 1589.694810: thermal_power_allocator_pid: thermal_zone_id=0 err=2532 err_integral=0 p=1266 i=0 d=0 output=3766
+ kworker/4:1-51 [004] 1589.694814: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.694818: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.694823: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1211
+ kworker/4:1-51 [004] 1589.694825: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.694829: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.694831: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.694833: thermal_power_allocator: thermal_zone_id=0 req_power={1694 488 642} total_req_power=2824 granted_power={1944 1211 613} total_granted_power=3768 power_range=3766 max_allocatable_power=3908 current_temperature=69468 delta_temperature=2532
+ kworker/4:1-51 [004] 1589.794353: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69478 temp=69453
+ kworker/4:1-51 [004] 1589.795601: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1503 static_power=237
+ kworker/4:1-51 [004] 1589.795619: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={36 37} dynamic_power=425 static_power=185
+ kworker/4:1-51 [004] 1589.795633: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={61 40 40 27} dynamic_power=199 static_power=137
+ kworker/4:1-51 [004] 1589.795639: thermal_power_allocator_pid: thermal_zone_id=0 err=2544 err_integral=0 p=1272 i=0 d=0 output=3772
+ kworker/4:1-51 [004] 1589.795643: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.795647: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.795652: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1216
+ kworker/4:1-51 [004] 1589.795655: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.795658: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.795660: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.795662: thermal_power_allocator: thermal_zone_id=0 req_power={1740 610 672} total_req_power=3022 granted_power={1944 1216 613} total_granted_power=3773 power_range=3772 max_allocatable_power=3908 current_temperature=69456 delta_temperature=2544
+ kworker/4:1-51 [004] 1589.904947: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69453 temp=69442
+ kworker/4:1-51 [004] 1589.906311: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=93 dynamic_power=1444 static_power=237
+ kworker/4:1-51 [004] 1589.906328: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={19 20} dynamic_power=227 static_power=185
+ kworker/4:1-51 [004] 1589.906343: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={43 22 38 24} dynamic_power=151 static_power=137
+ kworker/4:1-51 [004] 1589.906348: thermal_power_allocator_pid: thermal_zone_id=0 err=2560 err_integral=0 p=1280 i=0 d=0 output=3780
+ kworker/4:1-51 [004] 1589.906353: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1589.906356: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1589.906361: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1224
+ kworker/4:1-51 [004] 1589.906364: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1589.906367: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1589.906369: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1589.906371: thermal_power_allocator: thermal_zone_id=0 req_power={1681 412 576} total_req_power=2669 granted_power={1944 1224 613} total_granted_power=3781 power_range=3780 max_allocatable_power=3908 current_temperature=69440 delta_temperature=2560
+ kworker/4:1-51 [004] 1590.014034: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69442 temp=69427
+ kworker/4:1-51 [004] 1590.016888: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=93 dynamic_power=1453 static_power=237
+ kworker/4:1-51 [004] 1590.016913: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={35 34} dynamic_power=402 static_power=185
+ kworker/4:1-51 [004] 1590.016934: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={40 36 55 38} dynamic_power=201 static_power=137
+ kworker/4:1-51 [004] 1590.016942: thermal_power_allocator_pid: thermal_zone_id=0 err=2585 err_integral=0 p=1292 i=0 d=0 output=3792
+ kworker/4:1-51 [004] 1590.016948: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1590.016954: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1590.016964: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1237
+ kworker/4:1-51 [004] 1590.016970: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1590.016973: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1590.016975: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1590.016979: thermal_power_allocator: thermal_zone_id=0 req_power={1690 587 676} total_req_power=2953 granted_power={1944 1237 613} total_granted_power=3794 power_range=3792 max_allocatable_power=3908 current_temperature=69415 delta_temperature=2585
+ kworker/4:1-51 [004] 1590.115011: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69427 temp=69406
+ kworker/4:1-51 [004] 1590.116462: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=97 dynamic_power=1509 static_power=237
+ kworker/4:1-51 [004] 1590.116479: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={28 27} dynamic_power=320 static_power=185
+ kworker/4:1-51 [004] 1590.116494: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={34 89 6 6} dynamic_power=160 static_power=137
+ kworker/4:1-51 [004] 1590.116498: thermal_power_allocator_pid: thermal_zone_id=0 err=2592 err_integral=0 p=1296 i=0 d=0 output=3796
+ kworker/4:1-51 [004] 1590.116503: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1590.116506: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1590.116512: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1240
+ kworker/4:1-51 [004] 1590.116514: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1590.116517: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1590.116519: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1590.116521: thermal_power_allocator: thermal_zone_id=0 req_power={1746 505 594} total_req_power=2845 granted_power={1944 1240 613} total_granted_power=3797 power_range=3796 max_allocatable_power=3908 current_temperature=69408 delta_temperature=2592
+ kworker/4:1-51 [004] 1590.224193: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69406 temp=69424
+ kworker/4:1-51 [004] 1590.225470: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=100 dynamic_power=1547 static_power=237
+ kworker/4:1-51 [004] 1590.225487: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={28 26} dynamic_power=314 static_power=185
+ kworker/4:1-51 [004] 1590.225501: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={48 49 20 26} dynamic_power=170 static_power=137
+ kworker/4:1-51 [004] 1590.225506: thermal_power_allocator_pid: thermal_zone_id=0 err=2560 err_integral=0 p=1280 i=0 d=0 output=3780
+ kworker/4:1-51 [004] 1590.225511: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1590.225514: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1590.225519: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1225
+ kworker/4:1-51 [004] 1590.225522: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1590.225525: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1590.225527: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1590.225529: thermal_power_allocator: thermal_zone_id=0 req_power={1784 499 614} total_req_power=2897 granted_power={1944 1225 613} total_granted_power=3782 power_range=3780 max_allocatable_power=3908 current_temperature=69440 delta_temperature=2560
+ kworker/4:1-51 [004] 1590.324730: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69424 temp=69418
+ kworker/4:1-51 [004] 1590.326162: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=92 dynamic_power=1430 static_power=237
+ kworker/4:1-51 [004] 1590.326179: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={34 34} dynamic_power=396 static_power=185
+ kworker/4:1-51 [004] 1590.326192: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={64 37 42 9} dynamic_power=180 static_power=137
+ kworker/4:1-51 [004] 1590.326197: thermal_power_allocator_pid: thermal_zone_id=0 err=2603 err_integral=0 p=1301 i=0 d=0 output=3801
+ kworker/4:1-51 [004] 1590.326202: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=1 power=1944
+ kworker/4:1-51 [004] 1590.326206: cdev_update: type=devfreq target=1
+ kworker/4:1-51 [004] 1590.326211: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1246
+ kworker/4:1-51 [004] 1590.326214: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1590.326217: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1590.326219: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1590.326221: thermal_power_allocator: thermal_zone_id=0 req_power={1667 581 634} total_req_power=2882 granted_power={1944 1246 613} total_granted_power=3803 power_range=3801 max_allocatable_power=3908 current_temperature=69397 delta_temperature=2603
+ kworker/4:1-51 [004] 1590.424251: thermal_temperature: thermal_zone=soc_thermal id=0 temp_prev=69418 temp=69417
+ kworker/4:1-51 [004] 1590.425200: thermal_power_devfreq_get_power: type=devfreq freq=562500000 load=86 dynamic_power=1330 static_power=237
+ kworker/4:1-51 [004] 1590.425219: thermal_power_cpu_get_power: cpus=00000000,00000006 freq=1100000 load={22 22} dynamic_power=256 static_power=185
+ kworker/4:1-51 [004] 1590.425233: thermal_power_cpu_get_power: cpus=00000000,00000039 freq=850000 load={26 57 20 35} dynamic_power=164 static_power=137
+ kworker/4:1-51 [004] 1590.425238: thermal_power_allocator_pid: thermal_zone_id=0 err=2587 err_integral=0 p=1293 i=0 d=0 output=3793
+ kworker/4:1-51 [004] 1590.425243: thermal_power_devfreq_limit: type=devfreq freq=562500000 cdev_state=2 power=1944
+ kworker/4:1-51 [004] 1590.426809: cdev_update: type=devfreq target=2
+ kworker/4:1-51 [004] 1590.426818: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1100000 cdev_state=0 power=1237
+ kworker/4:1-51 [004] 1590.426821: cdev_update: type=thermal-cpufreq-0 target=0
+ kworker/4:1-51 [004] 1590.426824: thermal_power_cpu_limit: cpus=00000000,00000039 freq=850000 cdev_state=0 power=613
+ kworker/4:1-51 [004] 1590.426826: cdev_update: type=thermal-cpufreq-1 target=0
+ kworker/4:1-51 [004] 1590.426828: thermal_power_allocator: thermal_zone_id=0 req_power={1567 441 602} total_req_power=2610 granted_power={1944 1237 613} total_granted_power=3794 power_range=3793 max_allocatable_power=3908 current_temperature=69413 delta_temperature=2587
diff --git a/doc/trace_stats.dat b/doc/trace_stats.dat
new file mode 100644
index 0000000..adfb449
--- /dev/null
+++ b/doc/trace_stats.dat
Binary files differ
diff --git a/hooks/pre-commit b/hooks/pre-commit
new file mode 100755
index 0000000..9231bc2
--- /dev/null
+++ b/hooks/pre-commit
@@ -0,0 +1,29 @@
+#!/bin/sh
+# Copyright 2015-2015 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+#
+# git pre-commit hook. There's no way to automate them when cloning a
+# repository. You need to run this by hand in the root of the
+# repository to activate it:
+#
+# ln -s ../../hooks/pre-commit .git/hooks/
+
+set -e
+
+# Fail if there's whitespace errors
+git diff-index --check --cached HEAD --
+
+# Run testsuite
+exec nosetests
diff --git a/scripts/publish_interactive_plots.py b/scripts/publish_interactive_plots.py
new file mode 100755
index 0000000..7711556
--- /dev/null
+++ b/scripts/publish_interactive_plots.py
@@ -0,0 +1,126 @@
+#!/usr/bin/env python
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+"""This is a script to publish a notebook containing Ipython graphs
+The static data is published as an anonymous gist. GitHub does not
+allow easy deletions of anonymous gists.
+"""
+
+import os
+import argparse
+from IPython.nbformat.sign import TrustNotebookApp
+from argparse import RawTextHelpFormatter
+
+# Logging Configuration
+import logging
+from trappy.plotter import IPythonConf
+
+logging.basicConfig(level=logging.INFO)
+
+
+def change_resource_paths(txt):
+ """Change the resource paths from local to
+ Web URLs
+ """
+
+ # Replace the path for d3-tip
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/EventPlot/d3.tip.v0.6.3"),
+ IPythonConf.D3_TIP_URL)
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/EventPlot/d3.v3.min"),
+ IPythonConf.D3_PLOTTER_URL)
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/EventPlot/EventPlot"),
+ "https://rawgit.com/sinkap/7f89de3e558856b81f10/raw/46144f8f8c5da670c54f826f0c634762107afc66/EventPlot")
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/ILinePlot/synchronizer"),
+ IPythonConf.DYGRAPH_SYNC_URL)
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/ILinePlot/dygraph-combined"),
+ IPythonConf.DYGRAPH_COMBINED_URL)
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/ILinePlot/ILinePlot"),
+ "https://rawgit.com/sinkap/648927dfd6985d4540a9/raw/69d6f1f9031ae3624c15707315ce04be1a9d1ac3/ILinePlot")
+ txt = txt.replace(
+ IPythonConf.add_web_base("plotter_scripts/ILinePlot/underscore-min"),
+ IPythonConf.UNDERSCORE_URL)
+
+ logging.info("Updated Library Paths...")
+ return txt
+
+
+def publish(source, target):
+ """Publish the notebook for globally viewable interactive
+ plots
+ """
+
+ txt = ""
+
+ with open(source, 'r') as file_fh:
+ txt = change_resource_paths(file_fh.read())
+
+ with open(target, 'w') as file_fh:
+ file_fh.write(txt)
+
+ trust = TrustNotebookApp()
+ trust.sign_notebook(target)
+ logging.info("Signed and Saved: %s", target)
+
+def main():
+ """Command Line Invocation Routine"""
+
+ parser = argparse.ArgumentParser(description="""
+ The data for the interactive plots is stored in the ipython profile.
+ In order to make it accessible when the notebook is published or shared,
+ a github gist of the data is created and the links in the notebook are
+ updated. The library links are also updated to their corresponding publicly
+ accessible URLs.
+ """,
+ prog="publish_interactive_plots.py", formatter_class=RawTextHelpFormatter)
+
+ parser.add_argument(
+ "-p",
+ "--profile",
+ help="ipython profile",
+ default="default",
+ type=str)
+
+ parser.add_argument(
+ "-o",
+ "--outfile",
+ help="name of the output notebook",
+ default="",
+ type=str)
+
+ parser.add_argument("notebook")
+ args = parser.parse_args()
+
+ notebook = args.notebook
+ outfile = args.outfile
+
+ if outfile == "":
+ outfile = "published_" + os.path.basename(notebook)
+ logging.info("Setting outfile as %s", outfile)
+
+ elif not outfile.endswith(".ipynb"):
+ outfile += ".ipynb"
+
+ publish(notebook, outfile)
+
+if __name__ == "__main__":
+ main()
diff --git a/setup.cfg b/setup.cfg
new file mode 100644
index 0000000..852be06
--- /dev/null
+++ b/setup.cfg
@@ -0,0 +1,6 @@
+[upload_sphinx]
+upload-dir = doc/api_reference/_build/html
+
+[nosetests]
+processes=-1
+process-timeout=60
diff --git a/setup.py b/setup.py
new file mode 100644
index 0000000..b3a93dd
--- /dev/null
+++ b/setup.py
@@ -0,0 +1,74 @@
+#!/usr/bin/env python
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+from setuptools import setup, find_packages
+
+
+execfile("trappy/version.py")
+
+LONG_DESCRIPTION = """TRAPpy is a framework written in python for
+analysing and plotting FTrace data by converting it into standardised
+PANDAS DataFrames (tabular times series data representation).The goal is to
+allow developers easy and systematic access to FTrace data and leverage
+the flexibility of PANDAS for the analysis.
+
+TRAPpy also provides functionality to build complex statistical analysis
+based on the underlying FTrace data.
+"""
+REQUIRES = [
+ "numpy",
+ "pyparsing",
+ "pandas>=0.13.1",
+]
+
+EXTRAS = {
+ "notebook": ["matplotlib>=1.3.1",
+ "ipython>=3.0.0",
+ "jupyter>=1.0.0",
+ ],
+}
+
+data_files = {"trappy.plotter": ["js/EventPlot.js",
+ "js/ILinePlot.js",
+ "css/EventPlot.css",
+ "css/EventPlot_help.jpg",
+ ]
+}
+
+setup(name='TRAPpy',
+ version=__version__,
+ license="Apache v2",
+ author="ARM-TRAPPY",
+ author_email="trappy@arm.com",
+ description="Trace Analysis and Plotting",
+ long_description=LONG_DESCRIPTION,
+ url="http://arm-software.github.io/trappy",
+ packages=find_packages(),
+ package_data=data_files,
+ scripts=["scripts/publish_interactive_plots.py"],
+ classifiers=[
+ "Development Status :: 5 - Production/Stable",
+ "Environment :: Web Environment",
+ "Environment :: Console",
+ "License :: OSI Approved :: Apache Software License",
+ "Operating System :: POSIX :: Linux",
+ "Programming Language :: Python :: 2.7",
+ # As we depend on trace data from the Linux Kernel/FTrace
+ "Topic :: System :: Operating System Kernels :: Linux",
+ "Topic :: Scientific/Engineering :: Visualization"
+ ],
+ install_requires=REQUIRES,
+ extras_require=EXTRAS
+ )
diff --git a/tests/WA_sysfs_extract.tar.xz b/tests/WA_sysfs_extract.tar.xz
new file mode 100644
index 0000000..25e332d
--- /dev/null
+++ b/tests/WA_sysfs_extract.tar.xz
Binary files differ
diff --git a/tests/constrained.csv b/tests/constrained.csv
new file mode 100644
index 0000000..fa01266
--- /dev/null
+++ b/tests/constrained.csv
@@ -0,0 +1,147 @@
+id,workload,iteration,metric,value,units
+power_allocator_1,antutu,1,float_2,8,
+power_allocator_1,antutu,1,multitask_3,8,
+power_allocator_1,antutu,1,float,7,
+power_allocator_1,antutu,1,3d_5,9,
+power_allocator_1,antutu,1,float_4,3,
+power_allocator_1,antutu,1,floatsingle_3,7,
+power_allocator_1,antutu,1,integer_5,1,
+power_allocator_1,antutu,1,integer_4,9,
+power_allocator_1,antutu,1,integer_3,3,
+power_allocator_1,antutu,1,integer_2,9,
+power_allocator_1,antutu,1,integer,9,
+power_allocator_1,antutu,1,ram_5,8,
+power_allocator_1,antutu,1,ram_4,5,
+power_allocator_1,antutu,1,multitask,9,
+power_allocator_1,antutu,1,ram_3,2,
+power_allocator_1,antutu,1,ram_2,6,
+power_allocator_1,antutu,1,integersingle_4,7,
+power_allocator_1,antutu,1,memory_3,5,
+power_allocator_1,antutu,1,memory_2,1,
+power_allocator_1,antutu,1,memory_5,6,
+power_allocator_1,antutu,1,memory_4,4,
+power_allocator_1,antutu,1,float_3,2,
+power_allocator_1,antutu,1,integersingle_2,3,
+power_allocator_1,antutu,1,storage,4,
+power_allocator_1,antutu,1,renderscript,3,
+power_allocator_1,antutu,1,score_3,4,
+power_allocator_1,antutu,1,score,2,
+power_allocator_1,antutu,1,score_5,8,
+power_allocator_1,antutu,1,score_4,2,
+power_allocator_1,antutu,1,memory,6,
+power_allocator_1,antutu,1,renderscript_5,7,
+power_allocator_1,antutu,1,score_2,7,
+power_allocator_1,antutu,1,storage_5,9,
+power_allocator_1,antutu,1,3d,8,
+power_allocator_1,antutu,1,storage_3,6,
+power_allocator_1,antutu,1,integersingle,9,
+power_allocator_1,antutu,1,integersingle_3,9,
+power_allocator_1,antutu,1,float_5,9,
+power_allocator_1,antutu,1,2d_4,9,
+power_allocator_1,antutu,1,2d_5,4,
+power_allocator_1,antutu,1,2d_2,4,
+power_allocator_1,antutu,1,2d_3,3,
+power_allocator_1,antutu,1,renderscript_4,3,
+power_allocator_1,antutu,1,storage_2,5,
+power_allocator_1,antutu,1,floatsingle_2,6,
+power_allocator_1,antutu,1,floatsingle_5,3,
+power_allocator_1,antutu,1,floatsingle,8,
+power_allocator_1,antutu,1,storage_4,5,
+power_allocator_1,antutu,1,floatsingle_4,5,
+power_allocator_1,antutu,1,database,5,
+power_allocator_1,antutu,1,3d_4,2,
+power_allocator_1,antutu,1,dalvik,8,
+power_allocator_1,antutu,1,multitask_5,9,
+power_allocator_1,antutu,1,multitask_4,3,
+power_allocator_1,antutu,1,ram,8,
+power_allocator_1,antutu,1,multitask_2,3,
+power_allocator_1,antutu,1,2d,3,
+power_allocator_1,antutu,1,3d_3,8,
+power_allocator_1,antutu,1,3d_2,2,
+power_allocator_1,antutu,1,renderscript_3,2,
+power_allocator_1,antutu,1,renderscript_2,3,
+power_allocator_1,antutu,1,integersingle_5,6,
+power_allocator_1,antutu,1,database_5,5,
+power_allocator_1,antutu,1,database_4,5,
+power_allocator_1,antutu,1,dalvik_5,9,
+power_allocator_1,antutu,1,dalvik_4,9,
+power_allocator_1,antutu,1,dalvik_3,5,
+power_allocator_1,antutu,1,dalvik_2,8,
+power_allocator_1,antutu,1,database_3,4,
+power_allocator_1,antutu,1,database_2,5,
+power_allocator_1,antutu,1,execution_time,1234.69688511,seconds
+power_allocator_1,antutu,1,FPS,nan,
+power_allocator_1,antutu,1,frame_count,0,
+power_allocator_1,antutu,1,janks,0,
+power_allocator_1,antutu,1,not_at_vsync,0,
+power_allocator_2,egypt_offscreen,1,Frames_0,5,frames
+power_allocator_2,egypt_offscreen,1,FPS_0,334,fps
+power_allocator_2,egypt_offscreen,1,Frames_1,9,frames
+power_allocator_2,egypt_offscreen,1,FPS_1,242,fps
+power_allocator_2,egypt_offscreen,1,Frames_2,8,frames
+power_allocator_2,egypt_offscreen,1,FPS_2,190,fps
+power_allocator_2,egypt_offscreen,1,execution_time,1234.855288029,seconds
+power_allocator_2,egypt_offscreen,1,FPS,123.0000024,
+power_allocator_2,egypt_offscreen,1,frame_count,0,
+power_allocator_2,egypt_offscreen,1,janks,0,
+power_allocator_2,egypt_offscreen,1,not_at_vsync,0,
+power_allocator_3,t-rex_offscreen,1,Frames_0,8,frames
+power_allocator_3,t-rex_offscreen,1,FPS_0,560,fps
+power_allocator_3,t-rex_offscreen,1,Frames_1,5,frames
+power_allocator_3,t-rex_offscreen,1,FPS_1,872,fps
+power_allocator_3,t-rex_offscreen,1,Frames_2,1,frames
+power_allocator_3,t-rex_offscreen,1,FPS_2,918,fps
+power_allocator_3,t-rex_offscreen,1,Frames_3,3,frames
+power_allocator_3,t-rex_offscreen,1,FPS_3,5,fps
+power_allocator_3,t-rex_offscreen,1,Frames_4,4,frames
+power_allocator_3,t-rex_offscreen,1,FPS_4,494,fps
+power_allocator_3,t-rex_offscreen,1,execution_time,1234.807990074,seconds
+power_allocator_3,t-rex_offscreen,1,FPS,123.0000024,
+power_allocator_3,t-rex_offscreen,1,frame_count,0,
+power_allocator_3,t-rex_offscreen,1,janks,0,
+power_allocator_3,t-rex_offscreen,1,not_at_vsync,0,
+power_allocator_4,geekbench,1,score,1,
+power_allocator_4,geekbench,1,multicore_score,7,
+power_allocator_4,geekbench,1,Integer_score,3,
+power_allocator_4,geekbench,1,Integer_multicore_score,2,
+power_allocator_4,geekbench,1,Floating Point_score,3,
+power_allocator_4,geekbench,1,Floating Point_multicore_score,4,
+power_allocator_4,geekbench,1,Memory_score,2,
+power_allocator_4,geekbench,1,Memory_multicore_score,7,
+power_allocator_4,geekbench,1,score 1,1,
+power_allocator_4,geekbench,1,multicore_score 1,9,
+power_allocator_4,geekbench,1,Integer_score 1,6,
+power_allocator_4,geekbench,1,Integer_multicore_score 1,4,
+power_allocator_4,geekbench,1,Floating Point_score 1,6,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 1,5,
+power_allocator_4,geekbench,1,Memory_score 1,5,
+power_allocator_4,geekbench,1,Memory_multicore_score 1,8,
+power_allocator_4,geekbench,1,score 2,3,
+power_allocator_4,geekbench,1,multicore_score 2,7,
+power_allocator_4,geekbench,1,Integer_score 2,5,
+power_allocator_4,geekbench,1,Integer_multicore_score 2,9,
+power_allocator_4,geekbench,1,Floating Point_score 2,5,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 2,7,
+power_allocator_4,geekbench,1,Memory_score 2,8,
+power_allocator_4,geekbench,1,Memory_multicore_score 2,5,
+power_allocator_4,geekbench,1,score 3,2,
+power_allocator_4,geekbench,1,multicore_score 3,7,
+power_allocator_4,geekbench,1,Integer_score 3,5,
+power_allocator_4,geekbench,1,Integer_multicore_score 3,2,
+power_allocator_4,geekbench,1,Floating Point_score 3,5,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 3,5,
+power_allocator_4,geekbench,1,Memory_score 3,2,
+power_allocator_4,geekbench,1,Memory_multicore_score 3,3,
+power_allocator_4,geekbench,1,score 4,8,
+power_allocator_4,geekbench,1,multicore_score 4,4,
+power_allocator_4,geekbench,1,Integer_score 4,4,
+power_allocator_4,geekbench,1,Integer_multicore_score 4,9,
+power_allocator_4,geekbench,1,Floating Point_score 4,5,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 4,7,
+power_allocator_4,geekbench,1,Memory_score 4,6,
+power_allocator_4,geekbench,1,Memory_multicore_score 4,9,
+power_allocator_4,geekbench,1,execution_time,123.642524958,seconds
+power_allocator_4,geekbench,1,FPS,nan,
+power_allocator_4,geekbench,1,frame_count,0,
+power_allocator_4,geekbench,1,janks,0,
+power_allocator_4,geekbench,1,not_at_vsync,0,
diff --git a/tests/raw_trace.dat b/tests/raw_trace.dat
new file mode 100644
index 0000000..adfb449
--- /dev/null
+++ b/tests/raw_trace.dat
Binary files differ
diff --git a/tests/raw_trace.raw.txt b/tests/raw_trace.raw.txt
new file mode 100644
index 0000000..f66d55b
--- /dev/null
+++ b/tests/raw_trace.raw.txt
@@ -0,0 +1,7 @@
+version = 6
+CPU 3 is empty
+CPU 4 is empty
+cpus=6
+ ls-4734 [002] 106439.675591: sched_switch: prev_comm=trace-cmd prev_pid=4734 prev_prio=120 prev_state=1024 next_comm=migration/2 next_pid=18 next_prio=0
+ migration/2-18 [002] 106439.675613: sched_switch: prev_comm=migration/2 prev_pid=18 prev_prio=0 prev_state=1 next_comm=trace-cmd next_pid=4732 next_prio=120
+ trace-cmd-4730 [001] 106439.675718: sched_switch: prev_comm=trace-cmd prev_pid=4730 prev_prio=120 prev_state=1 next_comm=trace-cmd next_pid=4729 next_prio=120
diff --git a/tests/raw_trace.txt b/tests/raw_trace.txt
new file mode 100644
index 0000000..4fbf4c9
--- /dev/null
+++ b/tests/raw_trace.txt
@@ -0,0 +1,7 @@
+version = 6
+CPU 3 is empty
+CPU 4 is empty
+cpus=6
+ ls-4734 [002] 106439.675591: sched_switch: trace-cmd:4734 [120] R ==> migration/2:18 [0]
+ migration/2-18 [002] 106439.675613: sched_switch: migration/2:18 [0] S ==> trace-cmd:4732 [120]
+ trace-cmd-4731 [001] 106439.675698: sched_switch: trace-cmd:4731 [120] S ==> trace-cmd:4730 [120]
diff --git a/tests/results.csv b/tests/results.csv
new file mode 100644
index 0000000..9928528
--- /dev/null
+++ b/tests/results.csv
@@ -0,0 +1,161 @@
+id,workload,iteration,metric,value,units
+power_allocator_1,antutu,1,Overall_Score,5,
+power_allocator_1,antutu,1,Multitask,4,
+power_allocator_1,antutu,1,Dalvik,9,
+power_allocator_1,antutu,1,CPU_integer,3,
+power_allocator_1,antutu,1,CPU_float-point,2,
+power_allocator_1,antutu,1,RAM_Operation,3,
+power_allocator_1,antutu,1,RAM_Speed,6,
+power_allocator_1,antutu,1,2D_graphics,6,
+power_allocator_1,antutu,1,3D_graphics,9,
+power_allocator_1,antutu,1,Overall_Score_2,3,
+power_allocator_1,antutu,1,Multitask_2,7,
+power_allocator_1,antutu,1,Dalvik_2,2,
+power_allocator_1,antutu,1,CPU_integer_2,6,
+power_allocator_1,antutu,1,CPU_float-point_2,7,
+power_allocator_1,antutu,1,RAM_Operation_2,2,
+power_allocator_1,antutu,1,RAM_Speed_2,4,
+power_allocator_1,antutu,1,2D_graphics_2,7,
+power_allocator_1,antutu,1,3D_graphics_2,8,
+power_allocator_1,antutu,1,Overall_Score_3,2,
+power_allocator_1,antutu,1,Multitask_3,1,
+power_allocator_1,antutu,1,Dalvik_3,5,
+power_allocator_1,antutu,1,CPU_integer_3,2,
+power_allocator_1,antutu,1,CPU_float-point_3,6,
+power_allocator_1,antutu,1,RAM_Operation_3,4,
+power_allocator_1,antutu,1,RAM_Speed_3,5,
+power_allocator_1,antutu,1,2D_graphics_3,2,
+power_allocator_1,antutu,1,3D_graphics_3,2,
+power_allocator_1,antutu,1,execution_time,1234.026520967,seconds
+power_allocator_1,antutu,1,FPS,nan,
+power_allocator_1,antutu,1,frame_count,0,
+power_allocator_1,antutu,1,janks,0,
+power_allocator_1,antutu,1,not_at_vsync,0,
+power_allocator_2,egypt_offscreen,1,Frames_0,6,frames
+power_allocator_2,egypt_offscreen,1,FPS_0,652,fps
+power_allocator_2,egypt_offscreen,1,Frames_1,6,frames
+power_allocator_2,egypt_offscreen,1,FPS_1,555,fps
+power_allocator_2,egypt_offscreen,1,Frames_2,5,frames
+power_allocator_2,egypt_offscreen,1,FPS_2,790,fps
+power_allocator_2,egypt_offscreen,1,execution_time,1234.440778971,seconds
+power_allocator_2,egypt_offscreen,1,FPS,60.0000024,
+power_allocator_2,egypt_offscreen,1,frame_count,0,
+power_allocator_2,egypt_offscreen,1,janks,0,
+power_allocator_2,egypt_offscreen,1,not_at_vsync,0,
+power_allocator_3,t-rex_offscreen,1,Frames_0,4,frames
+power_allocator_3,t-rex_offscreen,1,FPS_0,1777,fps
+power_allocator_3,t-rex_offscreen,1,Frames_1,3,frames
+power_allocator_3,t-rex_offscreen,1,FPS_1,397,fps
+power_allocator_3,t-rex_offscreen,1,Frames_2,9,frames
+power_allocator_3,t-rex_offscreen,1,FPS_2,512,fps
+power_allocator_3,t-rex_offscreen,1,execution_time,4321.995774984,seconds
+power_allocator_3,t-rex_offscreen,1,FPS,60.0000024,
+power_allocator_3,t-rex_offscreen,1,frame_count,0,
+power_allocator_3,t-rex_offscreen,1,janks,0,
+power_allocator_3,t-rex_offscreen,1,not_at_vsync,0,
+power_allocator_4,geekbench,1,score,3,
+power_allocator_4,geekbench,1,multicore_score,2,
+power_allocator_4,geekbench,1,Integer_score,4,
+power_allocator_4,geekbench,1,Integer_multicore_score,7,
+power_allocator_4,geekbench,1,Floating Point_score,9,
+power_allocator_4,geekbench,1,Floating Point_multicore_score,6,
+power_allocator_4,geekbench,1,Memory_score,3,
+power_allocator_4,geekbench,1,Memory_multicore_score,8,
+power_allocator_4,geekbench,1,score 1,1,
+power_allocator_4,geekbench,1,multicore_score 1,7,
+power_allocator_4,geekbench,1,Integer_score 1,9,
+power_allocator_4,geekbench,1,Integer_multicore_score 1,1,
+power_allocator_4,geekbench,1,Floating Point_score 1,5,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 1,8,
+power_allocator_4,geekbench,1,Memory_score 1,8,
+power_allocator_4,geekbench,1,Memory_multicore_score 1,7,
+power_allocator_4,geekbench,1,score 2,5,
+power_allocator_4,geekbench,1,multicore_score 2,5,
+power_allocator_4,geekbench,1,Integer_score 2,5,
+power_allocator_4,geekbench,1,Integer_multicore_score 2,6,
+power_allocator_4,geekbench,1,Floating Point_score 2,6,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 2,6,
+power_allocator_4,geekbench,1,Memory_score 2,6,
+power_allocator_4,geekbench,1,Memory_multicore_score 2,3,
+power_allocator_4,geekbench,1,execution_time,1234.685024023,seconds
+power_allocator_4,geekbench,1,FPS,nan,
+power_allocator_4,geekbench,1,frame_count,0,
+power_allocator_4,geekbench,1,janks,0,
+power_allocator_4,geekbench,1,not_at_vsync,0,
+power_allocator_6,thechase,1,execution_time,600.09159112,seconds
+power_allocator_6,thechase,1,FPS,491.6156693693,
+power_allocator_6,thechase,1,frame_count,1,
+power_allocator_6,thechase,1,janks,0,
+power_allocator_6,thechase,1,not_at_vsync,0,
+step_wise_1,antutu,1,Overall_Score,4,
+step_wise_1,antutu,1,Multitask,3,
+step_wise_1,antutu,1,Dalvik,3,
+step_wise_1,antutu,1,CPU_integer,3,
+step_wise_1,antutu,1,CPU_float-point,3,
+step_wise_1,antutu,1,RAM_Operation,6,
+step_wise_1,antutu,1,RAM_Speed,5,
+step_wise_1,antutu,1,2D_graphics,6,
+step_wise_1,antutu,1,3D_graphics,5,
+step_wise_1,antutu,1,Overall_Score_2,9,
+step_wise_1,antutu,1,Multitask_2,3,
+step_wise_1,antutu,1,Dalvik_2,5,
+step_wise_1,antutu,1,CPU_integer_2,5,
+step_wise_1,antutu,1,CPU_float-point_2,9,
+step_wise_1,antutu,1,RAM_Operation_2,1,
+step_wise_1,antutu,1,RAM_Speed_2,9,
+step_wise_1,antutu,1,2D_graphics_2,4,
+step_wise_1,antutu,1,3D_graphics_2,7,
+step_wise_1,antutu,1,Overall_Score_3,7,
+step_wise_1,antutu,1,Multitask_3,4,
+step_wise_1,antutu,1,Dalvik_3,6,
+step_wise_1,antutu,1,CPU_integer_3,9,
+step_wise_1,antutu,1,CPU_float-point_3,1,
+step_wise_1,antutu,1,RAM_Operation_3,5,
+step_wise_1,antutu,1,RAM_Speed_3,1,
+step_wise_1,antutu,1,2D_graphics_3,9,
+step_wise_1,antutu,1,3D_graphics_3,6,
+step_wise_1,antutu,1,execution_time,1234.652857065,seconds
+step_wise_2,egypt_offscreen,1,Frames_0,5,frames
+step_wise_2,egypt_offscreen,1,FPS_0,504,fps
+step_wise_2,egypt_offscreen,1,Frames_1,5,frames
+step_wise_2,egypt_offscreen,1,FPS_1,2507,fps
+step_wise_2,egypt_offscreen,1,Frames_2,4,frames
+step_wise_2,egypt_offscreen,1,FPS_2,325,fps
+step_wise_2,egypt_offscreen,1,execution_time,1234.862871885,seconds
+step_wise_3,t-rex_offscreen,1,Frames_0,6,frames
+step_wise_3,t-rex_offscreen,1,FPS_0,2365,fps
+step_wise_3,t-rex_offscreen,1,Frames_1,8,frames
+step_wise_3,t-rex_offscreen,1,FPS_1,429,fps
+step_wise_3,t-rex_offscreen,1,Frames_2,7,frames
+step_wise_3,t-rex_offscreen,1,FPS_2,424,fps
+step_wise_3,t-rex_offscreen,1,execution_time,1234.510928154,seconds
+step_wise_4,geekbench,1,score,8,
+step_wise_4,geekbench,1,multicore_score,5,
+step_wise_4,geekbench,1,Integer_score,2,
+step_wise_4,geekbench,1,Integer_multicore_score,6,
+step_wise_4,geekbench,1,Floating Point_score,7,
+step_wise_4,geekbench,1,Floating Point_multicore_score,4,
+step_wise_4,geekbench,1,Memory_score,4,
+step_wise_4,geekbench,1,Memory_multicore_score,6,
+step_wise_4,geekbench,1,score 1,4,
+step_wise_4,geekbench,1,multicore_score 1,9,
+step_wise_4,geekbench,1,Integer_score 1,8,
+step_wise_4,geekbench,1,Integer_multicore_score 1,8,
+step_wise_4,geekbench,1,Floating Point_score 1,1,
+step_wise_4,geekbench,1,Floating Point_multicore_score 1,5,
+step_wise_4,geekbench,1,Memory_score 1,3,
+step_wise_4,geekbench,1,Memory_multicore_score 1,2,
+step_wise_4,geekbench,1,score 2,2,
+step_wise_4,geekbench,1,multicore_score 2,5,
+step_wise_4,geekbench,1,Integer_score 2,3,
+step_wise_4,geekbench,1,Integer_multicore_score 2,2,
+step_wise_4,geekbench,1,Floating Point_score 2,7,
+step_wise_4,geekbench,1,Floating Point_multicore_score 2,3,
+step_wise_4,geekbench,1,Memory_score 2,9,
+step_wise_4,geekbench,1,Memory_multicore_score 2,5,
+step_wise_4,geekbench,1,execution_time,1234.436671972,seconds
+step_wise_6,thechase,1,execution_time,1234.086979151,seconds
+step_wise_6,thechase,1,FPS,242.0522258138,
+step_wise_6,thechase,1,frame_count,7,
+step_wise_6,thechase,1,janks,0,
+step_wise_6,thechase,1,not_at_vsync,0,
diff --git a/tests/test_baretrace.py b/tests/test_baretrace.py
new file mode 100644
index 0000000..0f5d5c2
--- /dev/null
+++ b/tests/test_baretrace.py
@@ -0,0 +1,90 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+import pandas as pd
+import trappy
+import unittest
+
+class TestBareTrace(unittest.TestCase):
+ def __init__(self, *args, **kwargs):
+ super(TestBareTrace, self).__init__(*args, **kwargs)
+ dfr0 = pd.DataFrame({"l1_misses": [24, 535, 41],
+ "l2_misses": [155, 11, 200],
+ "cpu": [ 0, 1, 0]},
+ index=pd.Series([1.020, 1.342, 1.451], name="Time"))
+
+ dfr1 = pd.DataFrame({"load": [ 35, 16, 21, 28],
+ "util": [279, 831, 554, 843]},
+ index=pd.Series([1.279, 1.718, 2.243, 2.465], name="Time"))
+
+ self.dfr = [dfr0, dfr1]
+
+ def test_bare_trace_accepts_name(self):
+ """The BareTrace() accepts a name parameter"""
+
+ trace = trappy.BareTrace(name="foo")
+
+ self.assertEquals(trace.name, "foo")
+
+ def test_bare_trace_can_add_parsed_event(self):
+ """The BareTrace() class can add parsed events to its collection of trace events"""
+ trace = trappy.BareTrace()
+ trace.add_parsed_event("pmu_counters", self.dfr[0])
+
+ self.assertEquals(len(trace.pmu_counters.data_frame), 3)
+ self.assertEquals(trace.pmu_counters.data_frame["l1_misses"].iloc[0], 24)
+
+ trace.add_parsed_event("pivoted_counters", self.dfr[0], pivot="cpu")
+ self.assertEquals(trace.pivoted_counters.pivot, "cpu")
+
+ def test_bare_trace_get_duration(self):
+ """BareTrace.get_duration() works for a simple case"""
+
+ trace = trappy.BareTrace()
+ trace.add_parsed_event("pmu_counter", self.dfr[0])
+ trace.add_parsed_event("load_event", self.dfr[1])
+
+ self.assertEquals(trace.get_duration(), self.dfr[1].index[-1])
+
+ def test_bare_trace_get_duration_normalized(self):
+ """BareTrace.get_duration() works if the trace has been normalized"""
+ return # HACK: Test no longer valid
+
+ trace = trappy.BareTrace()
+ trace.add_parsed_event("pmu_counter", self.dfr[0].copy())
+ trace.add_parsed_event("load_event", self.dfr[1].copy())
+
+ basetime = self.dfr[0].index[0]
+ trace.normalize_time(basetime)
+
+ expected_duration = self.dfr[1].index[-1] - basetime
+ self.assertEquals(trace.get_duration(), expected_duration)
+
+ def test_bare_trace_normalize_time_accepts_basetime(self):
+ """BareTrace().normalize_time() accepts an arbitrary basetime"""
+ return # HACK: Test no longer valid
+
+ trace = trappy.BareTrace()
+ trace.add_parsed_event("pmu_counter", self.dfr[0].copy())
+
+ prev_first_time = trace.pmu_counter.data_frame.index[0]
+ basetime = 3
+
+ trace.normalize_time(basetime)
+
+ self.assertEquals(trace.basetime, basetime)
+
+ exp_first_time = prev_first_time - basetime
+ self.assertEquals(round(trace.pmu_counter.data_frame.index[0] - exp_first_time, 7), 0)
diff --git a/tests/test_base.py b/tests/test_base.py
new file mode 100644
index 0000000..e1fec6d
--- /dev/null
+++ b/tests/test_base.py
@@ -0,0 +1,215 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import os
+import sys
+import unittest
+import utils_tests
+import trappy
+from trappy.base import trace_parser_explode_array
+
+sys.path.append(os.path.join(utils_tests.TESTS_DIRECTORY, "..", "trappy"))
+
+class TestBaseMethods(unittest.TestCase):
+ """Test simple methods that don't need to set up a directory"""
+ def test_trace_parser_explode_array(self):
+ """TestBaseMethods: Basic test of trace_parser_explode_array()"""
+
+ line = "cpus=0000000f freq=1400000 raw_cpu_power=189 load={3 2 12 2} power=14"
+ expected = "cpus=0000000f freq=1400000 raw_cpu_power=189 load0=3 load1=2 load2=12 load3=2 power=14"
+ array_lengths = {"load": 4}
+
+ result = trace_parser_explode_array(line, array_lengths)
+ self.assertEquals(result, expected)
+
+ def test_trace_parser_explode_array_nop(self):
+ """TestBaseMethods: trace_parser_explode_array() returns the same string if there's no array in it"""
+
+ line = "cpus=0000000f freq=1400000 raw_cpu_power=189 load0=3 load1=2 load2=12 load3=2 power=14"
+ array_lengths = {"load": 0}
+
+ result = trace_parser_explode_array(line, array_lengths)
+ self.assertEquals(result, line)
+
+ def test_trace_parser_explode_array_2(self):
+ """TestBaseMethods: trace_parser_explode_array() works if there's two arrays in the string"""
+
+ line = "cpus=0000000f freq=1400000 load={3 2 12 2} power=14 req_power={10 7 2 34}"
+ expected = "cpus=0000000f freq=1400000 load0=3 load1=2 load2=12 load3=2 power=14 req_power0=10 req_power1=7 req_power2=2 req_power3=34"
+ array_lengths = {'load': 4, 'req_power': 4}
+
+ result = trace_parser_explode_array(line, array_lengths)
+ self.assertEquals(result, expected)
+
+ def test_trace_parser_explode_array_diff_lengths(self):
+ """TestBaseMethods: trace_parser_explode_array() expands arrays that are shorter than the expected length
+
+ trace_parser_explode_array() has to be able to deal with an array of
+ size 2 if we tell it in other parts of the trace it is four.
+
+ """
+
+ line = "cpus=0000000f freq=1400000 load={3 2} power=14"
+ expected = "cpus=0000000f freq=1400000 load0=3 load1=2 load2=0 load3=0 power=14"
+ array_lengths = {'load': 4}
+
+ result = trace_parser_explode_array(line, array_lengths)
+ self.assertEquals(result, expected)
+
+class TestBase(utils_tests.SetupDirectory):
+ """Incomplete tests for the Base class"""
+
+ def __init__(self, *args, **kwargs):
+ super(TestBase, self).__init__(
+ [("../doc/trace.txt", "trace.txt"),
+ ("trace_equals.txt", "trace_equals.txt")],
+ *args,
+ **kwargs)
+
+ def test_parse_empty_array(self):
+ """TestBase: Trace with empty array creates a valid DataFrame"""
+
+ in_data = """ kworker/4:1-397 [004] 720.741315: thermal_power_cpu_get: cpus=000000f0 freq=1900000 raw_cpu_power=1259 load={} power=61
+ kworker/4:1-397 [004] 720.741349: thermal_power_cpu_get: cpus=0000000f freq=1400000 raw_cpu_power=189 load={} power=14"""
+
+ expected_columns = set(["__comm", "__pid", "__tgid", "__cpu", "cpus", "freq",
+ "raw_cpu_power", "power"])
+
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ trace = trappy.FTrace()
+ dfr = trace.cpu_in_power.data_frame
+
+ self.assertEquals(set(dfr.columns), expected_columns)
+ self.assertEquals(dfr["power"].iloc[0], 61)
+
+ def test_parse_special_fields(self):
+ """TestBase: Task name, PID, CPU and timestamp are properly paresed """
+
+ events = {
+ 1001.456789 : { 'task': 'rcu_preempt', 'pid': 1123, 'cpu': 001 },
+ 1002.456789 : { 'task': 'rs:main', 'pid': 2123, 'cpu': 002 },
+ 1003.456789 : { 'task': 'AsyncTask #1', 'pid': 3123, 'cpu': 003 },
+ 1004.456789 : { 'task': 'kworker/1:1H', 'pid': 4123, 'cpu': 004 },
+ 1005.456789 : { 'task': 'jbd2/sda2-8', 'pid': 5123, 'cpu': 005 },
+ 1006.456789 : { 'task': 'IntentService[', 'pid': 6123, 'cpu': 005 },
+ 1006.456789 : { 'task': r'/system/bin/.s$_?.u- \a]}c\./ef[.12]*[[l]in]ger',
+ 'pid': 1234, 'cpu': 666 },
+ }
+
+ in_data = """"""
+ for timestamp in sorted(events):
+ in_data+="{0:>16s}-{1:d} [{2:04d}] {3}: event0: tag=value\n".\
+ format(
+ events[timestamp]['task'],
+ events[timestamp]['pid'],
+ events[timestamp]['cpu'],
+ timestamp
+ )
+
+ expected_columns = set(["__comm", "__pid", "__tgid", "__cpu", "tag"])
+
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ ftrace_parser = trappy.register_dynamic_ftrace("Event0", "event0", scope="sched")
+ trace = trappy.FTrace(normalize_time=False)
+ dfr = trace.event0.data_frame
+
+ self.assertEquals(set(dfr.columns), expected_columns)
+
+ for timestamp, event in events.iteritems():
+ self.assertEquals(dfr["__comm"].loc[timestamp], event['task'])
+ self.assertEquals(dfr["__pid"].loc[timestamp], event['pid'])
+ self.assertEquals(dfr["__cpu"].loc[timestamp], event['cpu'])
+
+ trappy.unregister_dynamic_ftrace(ftrace_parser)
+
+
+ def test_parse_values_concatenation(self):
+ """TestBase: Trace with space separated values created a valid DataFrame"""
+
+ in_data = """ rcu_preempt-7 [000] 73.604532: my_sched_stat_runtime: comm=Space separated taskname pid=7 runtime=262875 [ns] vruntime=17096359856 [ns]"""
+
+ expected_columns = set(["__comm", "__pid", "__tgid", "__cpu", "comm", "pid", "runtime", "vruntime"])
+
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ ftrace_parser = trappy.register_dynamic_ftrace("sched_stat_runtime",
+ "my_sched_stat_runtime", scope="sched")
+ trace = trappy.FTrace()
+ dfr = trace.sched_stat_runtime.data_frame
+
+ self.assertEquals(set(dfr.columns), expected_columns)
+ self.assertEquals(dfr["comm"].iloc[0], "Space separated taskname")
+ self.assertEquals(dfr["pid"].iloc[0], 7)
+ self.assertEquals(dfr["runtime"].iloc[0], 262875)
+ self.assertEquals(dfr["vruntime"].iloc[0], 17096359856)
+
+ trappy.unregister_dynamic_ftrace(ftrace_parser)
+
+ def test_get_dataframe(self):
+ """TestBase: Thermal.data_frame["thermal_zone"] exists and
+ it contains a known value"""
+ dfr = trappy.FTrace().thermal.data_frame
+
+ self.assertTrue("thermal_zone" in dfr.columns)
+ self.assertEquals(dfr["temp"].iloc[0], 68786)
+
+ def test_write_csv(self):
+ """TestBase: Base::write_csv() creates a valid csv"""
+ from csv import DictReader
+
+ fname = "thermal.csv"
+ trappy.FTrace().thermal.write_csv(fname)
+
+ with open(fname) as fin:
+ csv_reader = DictReader(fin)
+
+ self.assertTrue("Time" in csv_reader.fieldnames)
+ self.assertTrue("temp" in csv_reader.fieldnames)
+
+ first_data = csv_reader.next()
+ self.assertEquals(first_data["Time"], "0.0")
+ self.assertEquals(first_data["temp"], "68786")
+
+ def test_normalize_time(self):
+ """TestBase: Base::normalize_time() normalizes the time of the trace"""
+ thrm = trappy.FTrace().thermal
+
+ last_prev_time = thrm.data_frame.index[-1]
+
+ basetime = thrm.data_frame.index[0]
+ thrm.normalize_time(basetime)
+
+ last_time = thrm.data_frame.index[-1]
+ expected_last_time = last_prev_time - basetime
+
+ self.assertEquals(round(thrm.data_frame.index[0], 7), 0)
+ self.assertEquals(round(last_time - expected_last_time, 7), 0)
+
+ def test_equals_in_field_value(self):
+ """TestBase: Can parse events with fields with values containing '='"""
+ trace = trappy.FTrace("trace_equals.txt", events=['equals_event'])
+
+ df = trace.equals_event.data_frame
+ self.assertSetEqual(set(df.columns),
+ set(["__comm", "__pid", "__tgid", "__cpu", "my_field"]))
+ self.assertListEqual(df["my_field"].tolist(),
+ ["foo", "foo=bar", "foo=bar=baz", 1,
+ "1=2", "1=foo", "1foo=2"])
diff --git a/tests/test_constraint.py b/tests/test_constraint.py
new file mode 100644
index 0000000..29ed79a
--- /dev/null
+++ b/tests/test_constraint.py
@@ -0,0 +1,196 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import pandas as pd
+import unittest
+
+from trappy.plotter import AttrConf
+from trappy.plotter.Constraint import Constraint, ConstraintManager
+
+class TestConstraintManager(unittest.TestCase):
+ """Test trappy.plotter.ConstraintManager"""
+
+ def __init__(self, *args, **kwargs):
+ """Init some common data for the tests"""
+
+ self.dfrs = [pd.DataFrame({"load": [1, 2, 2, 3],
+ "freq": [2, 3, 3, 4],
+ "cpu": [0, 1, 0, 1]}),
+ pd.DataFrame({"load": [2, 3, 2, 1],
+ "freq": [1, 2, 2, 1],
+ "cpu": [1, 0, 1, 0]})]
+ self.cols = ["load", "freq"]
+ super(TestConstraintManager, self).__init__(*args, **kwargs)
+
+ def test_one_constraint(self):
+ """Test that the constraint manager works with one constraint"""
+
+ dfr = self.dfrs[0]
+
+ c_mgr = ConstraintManager(dfr, "load", None, AttrConf.PIVOT, {})
+
+ self.assertEquals(len(c_mgr), 1)
+
+ constraint = iter(c_mgr).next()
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(series.to_dict().values(),
+ dfr["load"].to_dict().values())
+
+ def test_no_pivot_multiple_traces(self):
+ """Test that the constraint manager works with multiple traces and no pivots"""
+
+ c_mgr = ConstraintManager(self.dfrs, "load", None, AttrConf.PIVOT, {})
+
+ self.assertEquals(len(c_mgr), 2)
+
+ for constraint, orig_dfr in zip(c_mgr, self.dfrs):
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(series.to_dict().values(),
+ orig_dfr["load"].to_dict().values())
+
+ def test_no_pivot_zipped_columns_and_traces(self):
+ """Test the constraint manager with multiple columns and traces zipped"""
+
+ c_mgr = ConstraintManager(self.dfrs, self.cols, None, AttrConf.PIVOT, {})
+
+ self.assertEquals(len(c_mgr), 2)
+
+ for constraint, orig_dfr, col in zip(c_mgr, self.dfrs, self.cols):
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(series.to_dict().values(),
+ orig_dfr[col].to_dict().values())
+
+ def test_no_pivot_multicolumns_multitraces(self):
+ """Test the constraint manager with multiple traces that can have each multiple columns"""
+
+ c_mgr = ConstraintManager(self.dfrs, self.cols, None, AttrConf.PIVOT,
+ {}, zip_constraints=False)
+
+ self.assertEquals(len(c_mgr), 4)
+
+ expected_series = [dfr[col] for dfr in self.dfrs for col in self.cols]
+ for constraint, orig_series in zip(c_mgr, expected_series):
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(series.to_dict(), orig_series.to_dict())
+
+ def test_no_pivot_filters(self):
+ """Test the constraint manager with filters"""
+
+ simple_filter = {"freq": [2]}
+
+ c_mgr = ConstraintManager(self.dfrs, "load", None, AttrConf.PIVOT,
+ simple_filter)
+
+ num_constraints = len(c_mgr)
+ self.assertEquals(num_constraints, 2)
+
+ constraint_iter = iter(c_mgr)
+ constraint = constraint_iter.next()
+ self.assertEquals(len(constraint.result), 1)
+
+ constraint = constraint_iter.next()
+ series_second_frame = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(series_second_frame.to_dict().values(), [3, 2])
+
+ def test_pivoted_data(self):
+ """Test the constraint manager with a pivot and one trace"""
+
+ c_mgr = ConstraintManager(self.dfrs[0], "load", None, "cpu", {})
+
+ self.assertEquals(len(c_mgr), 1)
+
+ constraint = iter(c_mgr).next()
+ results = dict([(k, v.to_dict().values()) for k, v in constraint.result.items()])
+ expected_results = {0: [1, 2], 1: [2, 3]}
+
+ self.assertEquals(results, expected_results)
+
+ def test_pivoted_multitrace(self):
+ """Test the constraint manager with a pivot and multiple traces"""
+
+ c_mgr = ConstraintManager(self.dfrs, "load", None, "cpu", {})
+
+ self.assertEquals(len(c_mgr), 2)
+
+ constraint_iter = iter(c_mgr)
+ constraint = constraint_iter.next()
+ self.assertEquals(constraint.result[0].to_dict().values(), [1, 2])
+
+ constraint = constraint_iter.next()
+ self.assertEquals(constraint.result[1].to_dict().values(), [2, 2])
+
+ def test_pivoted_multitraces_multicolumns(self):
+ """Test the constraint manager with multiple traces and columns"""
+
+ c_mgr = ConstraintManager(self.dfrs, ["load", "freq"], None, "cpu", {})
+ self.assertEquals(len(c_mgr), 2)
+
+ constraint_iter = iter(c_mgr)
+ constraint = constraint_iter.next()
+ self.assertEquals(constraint.result[1].to_dict().values(), [2, 3])
+
+ constraint = constraint_iter.next()
+ self.assertEquals(constraint.result[0].to_dict().values(), [2, 1])
+
+ def test_pivoted_with_filters(self):
+ """Test the constraint manager with pivoted data and filters"""
+
+ simple_filter = {"load": [2]}
+ c_mgr = ConstraintManager(self.dfrs[0], "freq", None, "cpu",
+ simple_filter)
+
+ self.assertEquals(len(c_mgr), 1)
+
+ constraint = iter(c_mgr).next()
+ result = constraint.result
+
+ self.assertEquals(result[0].iloc[0], 3)
+ self.assertEquals(result[1].iloc[0], 3)
+
+ def test_constraint_with_window(self):
+ """Test that the constraint manager can constraint to a window of time"""
+ c_mgr = ConstraintManager(self.dfrs[0], "freq", None, AttrConf.PIVOT, {},
+ window=(1, 3))
+
+ constraint = iter(c_mgr).next()
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(len(series), 3)
+
+ # For the graph to plot a value at 0.75, the resulting series
+ # must contain the value before 0.75. Same for the upper limit.
+ c_mgr = ConstraintManager(self.dfrs[0], "freq", None, AttrConf.PIVOT, {},
+ window=(0.75, 1.5))
+
+ constraint = iter(c_mgr).next()
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(series.index.tolist(), [0, 1, 2])
+
+ c_mgr = ConstraintManager(self.dfrs[0], "freq", None, AttrConf.PIVOT, {},
+ window=(0, 2))
+
+ constraint = iter(c_mgr).next()
+ series = constraint.result[AttrConf.PIVOT_VAL]
+ self.assertEquals(len(series), 3)
+
+class TestConstraint(unittest.TestCase):
+ def test_str_constraint(self):
+ """str(constraint) doesn't fail when the column is not a string"""
+ dfr = pd.DataFrame({12: [1, 2, 3], 13: [3, 4, 5]})
+
+ constraint = Constraint(dfr, AttrConf.PIVOT, 12, template=None,
+ trace_index=0, filters={}, window=None)
+
+ self.assertEqual(str(constraint), "DataFrame 0:12")
diff --git a/tests/test_copyright.py b/tests/test_copyright.py
new file mode 100644
index 0000000..888cd6e
--- /dev/null
+++ b/tests/test_copyright.py
@@ -0,0 +1,104 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+from datetime import date
+from glob import glob
+import os
+import re
+import unittest
+
+
+def copyright_is_valid(fname):
+ """Return True if fname has a valid copyright"""
+ with open(fname) as fin:
+ # Read the first 2K of the file. If the copyright is not there, you
+ # are probably doing something wrong
+ lines = fin.readlines(2048)
+
+ # Either the first or the second line must have a "Copyright:" line
+ first_line = re.compile(r"(#| \*) Copyright")
+ try:
+ if not first_line.search(lines[0]):
+ if first_line.search(lines[1]):
+ # Drop the first line to align the copyright to lines[0]
+ lines = lines[1:]
+ else:
+ return False
+ except IndexError:
+ return False
+
+ # The copyright mentions ARM Limited
+ if "ARM Limited" not in lines[0]:
+ return False
+
+ apache_line = 6
+ if "Google Inc" in lines[1]:
+ apache_line += 1
+
+ # The Copyright includes the current year
+ current_year = date.today().year
+ if str(current_year) not in lines[0]:
+ return False
+
+ # It's the apache license
+ if "http://www.apache.org/licenses/LICENSE-2.0" not in lines[apache_line]:
+ return False
+
+ return True
+
+
+class TestCopyRight(unittest.TestCase):
+ def test_copyrights(self):
+ """Check that all files have valid copyrights"""
+
+ tests_dir = os.path.dirname(os.path.abspath(__file__))
+ base_dir = os.path.dirname(tests_dir)
+ patterns_to_ignore = {}
+
+ for root, dirs, files in os.walk(base_dir):
+ if ".gitignore" in files:
+ fname = os.path.join(root, ".gitignore")
+ with open(fname) as fin:
+ lines = fin.readlines()
+
+ patterns_to_ignore[root] = [l.strip() for l in lines]
+
+ files_to_ignore = []
+ for directory, patterns in patterns_to_ignore.iteritems():
+ if root.startswith(directory):
+ for pat in patterns:
+ pat = os.path.join(root, pat)
+ files_to_ignore.extend(glob(pat))
+
+ for dirname in dirs:
+ full_dirname = os.path.join(root, dirname)
+ if full_dirname in files_to_ignore:
+ dirs.remove(dirname)
+
+
+ for fname in files:
+ fname = os.path.join(root, fname)
+ if fname in files_to_ignore:
+ continue
+
+ extension = os.path.splitext(fname)[1]
+ if extension in [".py", ".js", ".css"]:
+ if not copyright_is_valid(fname):
+ print("Invalid copyright in {}".format(fname))
+ self.fail()
+
+ if '.git' in dirs:
+ dirs.remove('.git')
diff --git a/tests/test_cpu_power.py b/tests/test_cpu_power.py
new file mode 100644
index 0000000..3ab3114
--- /dev/null
+++ b/tests/test_cpu_power.py
@@ -0,0 +1,145 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import matplotlib
+import pandas as pd
+
+from test_thermal import BaseTestThermal
+import trappy
+import cpu_power
+
+class TestCpuPower(BaseTestThermal):
+ def __init__(self, *args, **kwargs):
+ super(TestCpuPower, self).__init__(*args, **kwargs)
+ self.map_label = {"00000000,00000039": "A53", "00000000,00000006": "A57"}
+
+ def test_pivot_with_labels(self):
+ """Test pivot_with_labels()"""
+ map_label = {"000000f0": "A15", "0000000f": "A7"}
+ dfr_in = pd.DataFrame({'cpus': ["000000f0", "0000000f", "000000f0", "0000000f"],
+ 'freq': [1, 3, 2, 6]})
+
+ dfr_out = cpu_power.pivot_with_labels(dfr_in, "freq", "cpus", map_label)
+
+ self.assertEquals(dfr_out["A15"].iloc[0], 1)
+ self.assertEquals(dfr_out["A15"].iloc[1], 1)
+ self.assertEquals(dfr_out["A15"].iloc[2], 2)
+ self.assertEquals(dfr_out["A7"].iloc[1], 3)
+
+ def test_num_cpus_in_mask(self):
+ """num_cpus_in_mask() works with the masks we usually use"""
+ mask = "000000f0"
+ self.assertEquals(cpu_power.num_cpus_in_mask(mask), 4)
+
+ mask = sorted(self.map_label)[0]
+ self.assertEquals(cpu_power.num_cpus_in_mask(mask), 2)
+
+ mask = sorted(self.map_label)[1]
+ self.assertEquals(cpu_power.num_cpus_in_mask(mask), 4)
+
+ def test_cpuoutpower_dataframe(self):
+ """Test that CpuOutPower() creates a proper data_frame"""
+ outp = trappy.FTrace().cpu_out_power
+
+ self.assertEquals(outp.data_frame["power"].iloc[0], 1344)
+ self.assertTrue("cdev_state" in outp.data_frame.columns)
+
+ def test_cpuoutpower_get_all_freqs(self):
+ """Test CpuOutPower.get_all_freqs()"""
+ dfr = trappy.FTrace().cpu_out_power.get_all_freqs(self.map_label)
+
+ self.assertEquals(dfr["A57"].iloc[0], 1100)
+ self.assertEquals(dfr["A53"].iloc[1], 850)
+
+ def test_cpuinpower_get_dataframe(self):
+ """Test that CpuInPower() creates a proper data_frame()"""
+ inp = trappy.FTrace().cpu_in_power
+
+ self.assertTrue("load0" in inp.data_frame.columns)
+ self.assertEquals(inp.data_frame["load0"].iloc[0], 24)
+
+ def test_cpuinpower_big_cpumask(self):
+ """CpuInPower()'s data_frame is not confused by 64-bit cpumasks"""
+ in_data = """ kworker/2:2-679 [002] 676.256284: thermal_power_cpu_get: cpus=00000000,0000000f freq=261888 cdev_state=5 power=12
+ kworker/2:2-679 [002] 676.276200: thermal_power_cpu_get: cpus=00000000,00000030 freq=261888 cdev_state=5 power=0
+ kworker/2:2-679 [002] 676.416202: thermal_power_cpu_get: cpus=00000000,0000000f freq=261888 cdev_state=5 power=0
+ """
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ inp = trappy.FTrace(normalize_time=False).cpu_in_power
+ self.assertEquals(round(inp.data_frame.index[0], 6), 676.256284)
+ self.assertEquals(inp.data_frame["cpus"].iloc[1], "00000000,00000030")
+
+ def test_cpuinpower_data_frame_asymmetric_clusters(self):
+ """Test that CpuInPower()'s data_frame can handle asymmetric clusters
+
+ That is 2 cpus in one cluster and 4 in another, like Juno
+ """
+ in_data = """
+ kworker/2:2-679 [002] 676.256261: thermal_power_cpu_get: cpus=00000000,00000030 freq=1900000 raw_cpu_power=1259 load={74 49} power=451
+ kworker/2:2-679 [002] 676.256271: thermal_power_cpu_get: cpus=00000000,0000000f freq=450000 raw_cpu_power=36 load={1 2 1 3} power=9
+"""
+
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ inp = trappy.FTrace(normalize_time=False).cpu_in_power
+
+ self.assertEquals(inp.data_frame["load0"].iloc[0], 74)
+ self.assertEquals(inp.data_frame["load1"].iloc[0], 49)
+ self.assertEquals(inp.data_frame["load2"].iloc[0], 0)
+ self.assertEquals(inp.data_frame["load3"].iloc[0], 0)
+ self.assertEquals(inp.data_frame["load0"].iloc[1], 1)
+ self.assertEquals(inp.data_frame["load1"].iloc[1], 2)
+ self.assertEquals(inp.data_frame["load2"].iloc[1], 1)
+ self.assertEquals(inp.data_frame["load3"].iloc[1], 3)
+
+ def test_cpuinpower_get_all_freqs(self):
+ """Test CpuInPower.get_all_freqs()"""
+ dfr = trappy.FTrace().cpu_in_power.get_all_freqs(self.map_label)
+
+ self.assertEquals(dfr["A57"].iloc[0], 1100)
+ self.assertEquals(dfr["A53"].iloc[1], 850)
+ self.assertEquals(dfr["A57"].iloc[5], 1100)
+
+ def test_cpuinpower_get_load_data(self):
+ """Test CpuInPower.get_load_data()"""
+ trace = trappy.FTrace()
+ first_load = trace.cpu_in_power.data_frame["load0"].iloc[0]
+ load_data = trace.cpu_in_power.get_load_data(self.map_label)
+
+ self.assertEquals(load_data["A57"].iloc[0], 24 + 19)
+ self.assertEquals(load_data["A53"].iloc[3], 32 + 28 + 46 + 44)
+ self.assertEquals(load_data["A57"].iloc[0], load_data["A57"].iloc[1])
+
+ self.assertEquals(trace.cpu_in_power.data_frame["load0"].iloc[0],
+ first_load)
+
+ def test_cpuinpower_get_normalized_load_data(self):
+ """Test CpuInPower.get_normalized_load_data()"""
+ trace = trappy.FTrace()
+ first_load = trace.cpu_in_power.data_frame["load0"].iloc[0]
+ load_data = trace.cpu_in_power.get_normalized_load_data(self.map_label)
+
+ # Ideally the trace should have an event in which the cpus are
+ # not running at maximum frequency
+ self.assertEquals(load_data["A57"].iloc[0],
+ (24. + 19) * 1100000 / (1100000 * 2))
+ self.assertEquals(load_data["A53"].iloc[1],
+ (36. + 49 + 48 + 7) * 850000 / (850000 * 4))
+ self.assertEquals(trace.cpu_in_power.data_frame["load0"].iloc[0],
+ first_load)
diff --git a/tests/test_devfreq.py b/tests/test_devfreq.py
new file mode 100644
index 0000000..dc91fbf
--- /dev/null
+++ b/tests/test_devfreq.py
@@ -0,0 +1,50 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+import pandas as pd
+
+import trappy
+from test_thermal import BaseTestThermal
+
+class TestDevfreqPower(BaseTestThermal):
+ """Tests for the DevfreqInPower and DevfreqOutPower classes"""
+
+ def test_devfreq_inp_dataframe(self):
+ """Test that DevfreqInPower creates proper data frames"""
+ devfreq_in_power = trappy.FTrace().devfreq_in_power
+
+ self.assertTrue("freq" in devfreq_in_power.data_frame.columns)
+
+ def test_devfreq_outp_dataframe(self):
+ """Test that DevfreqOutPower creates proper data frames"""
+ devfreq_out_power = trappy.FTrace().devfreq_out_power
+
+ self.assertTrue("freq" in devfreq_out_power.data_frame.columns)
+
+ def test_get_inp_all_freqs(self):
+ """Test that DevfreqInPower get_all_freqs() work"""
+
+ all_freqs = trappy.FTrace().devfreq_in_power.get_all_freqs()
+ self.assertTrue(isinstance(all_freqs, pd.DataFrame))
+
+ self.assertEquals(all_freqs["freq"].iloc[0], 525)
+
+ def test_get_outp_all_freqs(self):
+ """Test that DevfreqOutPower get_all_freqs() work"""
+
+ all_freqs = trappy.FTrace().devfreq_out_power.get_all_freqs()
+ self.assertTrue(isinstance(all_freqs, pd.DataFrame))
+
+ self.assertEquals(all_freqs["freq"].iloc[0], 525)
diff --git a/tests/test_duplicates.py b/tests/test_duplicates.py
new file mode 100644
index 0000000..32ce8e3
--- /dev/null
+++ b/tests/test_duplicates.py
@@ -0,0 +1,96 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+import matplotlib
+import pandas as pd
+import utils_tests
+import trappy
+import shutil
+
+from test_thermal import BaseTestThermal
+
+
+class TestPlotterDupVals(BaseTestThermal):
+
+ """Test Duplicate Entries in plotter"""
+
+ def __init__(self, *args, **kwargs):
+ super(TestPlotterDupVals, self).__init__(*args, **kwargs)
+
+ def test_plotter_duplicates(self):
+ """Test that plotter handles duplicates fine"""
+ with open("trace.txt", "w") as fout:
+ fout.write("""version = 6
+cpus=6
+ rcuos/2-22 [001] 0000.018510: sched_load_avg_sg: cpus=00000001 load=0 utilization=0
+ rcuos/2-22 [001] 6550.018611: sched_load_avg_sg: cpus=00000002 load=1 utilization=1
+ rcuos/2-22 [001] 6550.018611: sched_load_avg_sg: cpus=00000004 load=2 utilization=2
+ rcuos/2-22 [001] 6550.018612: sched_load_avg_sg: cpus=00000001 load=2 utilization=3
+ rcuos/2-22 [001] 6550.018624: sched_load_avg_sg: cpus=00000002 load=1 utilization=4
+ rcuos/2-22 [001] 6550.018625: sched_load_avg_sg: cpus=00000002 load=2 utilization=5
+ rcuos/2-22 [001] 6550.018626: sched_load_avg_sg: cpus=00000002 load=3 utilization=6
+ rcuos/2-22 [001] 6550.018627: sched_load_avg_sg: cpus=00000002 load=1 utilization=7
+ rcuos/2-22 [001] 6550.018628: sched_load_avg_sg: cpus=00000004 load=2 utilization=8\n""")
+ fout.close()
+ trace1 = trappy.FTrace(name="first")
+ l = trappy.LinePlot(
+ trace1,
+ trappy.sched.SchedLoadAvgSchedGroup,
+ column=['utilization'],
+ filters={
+ "load": [
+ 1,
+ 2]},
+ pivot="cpus",
+ marker='o',
+ linestyle='none',
+ per_line=3)
+ l.view(test=True)
+
+ def test_plotter_triplicates(self):
+
+ """Test that plotter handles triplicates fine"""
+
+ with open("trace.txt", "w") as fout:
+ fout.write("""version = 6
+cpus=6
+ rcuos/2-22 [001] 0000.018510: sched_load_avg_sg: cpus=00000001 load=0 utilization=0
+ rcuos/2-22 [001] 6550.018611: sched_load_avg_sg: cpus=00000002 load=1 utilization=1
+ rcuos/2-22 [001] 6550.018611: sched_load_avg_sg: cpus=00000004 load=2 utilization=2
+ rcuos/2-22 [001] 6550.018611: sched_load_avg_sg: cpus=00000004 load=2 utilization=2
+ rcuos/2-22 [001] 6550.018612: sched_load_avg_sg: cpus=00000001 load=2 utilization=3
+ rcuos/2-22 [001] 6550.018624: sched_load_avg_sg: cpus=00000002 load=1 utilization=4
+ rcuos/2-22 [001] 6550.018625: sched_load_avg_sg: cpus=00000002 load=2 utilization=5
+ rcuos/2-22 [001] 6550.018626: sched_load_avg_sg: cpus=00000002 load=3 utilization=6
+ rcuos/2-22 [001] 6550.018627: sched_load_avg_sg: cpus=00000002 load=1 utilization=7
+ rcuos/2-22 [001] 6550.018628: sched_load_avg_sg: cpus=00000004 load=2 utilization=8\n""")
+ fout.close()
+
+ trace1 = trappy.FTrace(name="first")
+ l = trappy.LinePlot(
+ trace1,
+ trappy.sched.SchedLoadAvgSchedGroup,
+ column=['utilization'],
+ filters={
+ "load": [
+ 1,
+ 2]},
+ pivot="cpus",
+ marker='o',
+ linestyle='none',
+ per_line=3)
+ l.view(test=True)
diff --git a/tests/test_dynamic.py b/tests/test_dynamic.py
new file mode 100644
index 0000000..d69dbcd
--- /dev/null
+++ b/tests/test_dynamic.py
@@ -0,0 +1,127 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+import matplotlib
+from test_sched import BaseTestSched
+from trappy.base import Base
+import trappy
+
+
+class DynamicEvent(Base):
+
+ """Test the ability to register
+ specific classes to trappy"""
+
+ unique_word = "dynamic_test_key"
+ name = "dynamic_event"
+
+
+class TestDynamicEvents(BaseTestSched):
+
+ def __init__(self, *args, **kwargs):
+ super(TestDynamicEvents, self).__init__(*args, **kwargs)
+
+ def test_dynamic_data_frame(self):
+ """
+ Test if the dynamic events are populated
+ in the data frame
+ """
+ parse_class = trappy.register_dynamic_ftrace("DynamicEvent", "dynamic_test_key")
+ t = trappy.FTrace(name="first")
+ self.assertTrue(len(t.dynamic_event.data_frame) == 1)
+
+ trappy.unregister_dynamic_ftrace(parse_class)
+
+ def test_dynamic_class_attr(self):
+ """
+ Test the attibutes of the dynamically
+ generated class
+ """
+ cls = trappy.register_dynamic_ftrace("DynamicEvent", "dynamic_test_key",
+ pivot="test_pivot")
+ self.assertEquals(cls.__name__, "DynamicEvent")
+ self.assertEquals(cls.name, "dynamic_event")
+ self.assertEquals(cls.unique_word, "dynamic_test_key")
+ self.assertEquals(cls.pivot, "test_pivot")
+
+ trappy.unregister_dynamic_ftrace(cls)
+
+ def test_dynamic_event_plot(self):
+ """Test if plotter can accept a dynamic class
+ for a template argument"""
+
+ cls = trappy.register_dynamic_ftrace("DynamicEvent", "dynamic_test_key")
+ t = trappy.FTrace(name="first")
+ l = trappy.LinePlot(t, cls, column="load")
+ l.view(test=True)
+
+ trappy.unregister_dynamic_ftrace(cls)
+
+ def test_dynamic_event_scope(self):
+ """Test the case when an "all" scope class is
+ registered. it should appear in both thermal and sched
+ ftrace class definitions when scoped ftrace objects are created
+ """
+ cls = trappy.register_dynamic_ftrace("DynamicEvent", "dynamic_test_key")
+ t1 = trappy.FTrace(name="first")
+ self.assertTrue(t1.class_definitions.has_key(cls.name))
+
+ trappy.unregister_dynamic_ftrace(cls)
+
+ def test_register_ftrace_parser(self):
+ trappy.register_ftrace_parser(DynamicEvent)
+ t = trappy.FTrace(name="first")
+ self.assertTrue(len(t.dynamic_event.data_frame) == 1)
+
+ trappy.unregister_ftrace_parser(DynamicEvent)
+
+ def test_no_none_pivot(self):
+ """register_dynamic_ftrace() with default value for pivot doesn't create a class with a pivot=None"""
+ cls = trappy.register_dynamic_ftrace("MyEvent", "my_dyn_test_key")
+ self.assertFalse(hasattr(cls, "pivot"))
+
+ trappy.unregister_dynamic_ftrace(cls)
+
+ def test_unregister_dynamic_ftrace(self):
+ """Test that dynamic events can be unregistered"""
+ dyn_event = trappy.register_dynamic_ftrace("DynamicEvent",
+ "dynamic_test_key")
+ trace = trappy.FTrace(name="first")
+ self.assertTrue(len(trace.dynamic_event.data_frame) == 1)
+
+ trappy.unregister_dynamic_ftrace(dyn_event)
+ trace = trappy.FTrace(name="first")
+
+ self.assertFalse(hasattr(trace, "dynamic_event"))
+
+ dyn_event = trappy.register_dynamic_ftrace("DynamicEvent",
+ "dynamic_test_key",
+ scope="sched")
+ trace = trappy.FTrace(name="first")
+ self.assertTrue(len(trace.dynamic_event.data_frame) == 1)
+
+ trappy.unregister_dynamic_ftrace(dyn_event)
+ trace = trappy.FTrace(name="first")
+
+ self.assertFalse(hasattr(trace, "dynamic_event"))
+
+ def test_unregister_ftrace_parser(self):
+ """unregister_ftrace_parser() works"""
+ trappy.register_ftrace_parser(DynamicEvent)
+ trappy.unregister_ftrace_parser(DynamicEvent)
+ trace = trappy.FTrace()
+ self.assertFalse(hasattr(trace, "dynamic_event"))
diff --git a/tests/test_ftrace.py b/tests/test_ftrace.py
new file mode 100644
index 0000000..021d70b
--- /dev/null
+++ b/tests/test_ftrace.py
@@ -0,0 +1,516 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import matplotlib
+import os
+import pandas as pd
+import re
+import shutil
+import subprocess
+import tempfile
+import time
+import unittest
+
+from test_thermal import BaseTestThermal
+import trappy
+import utils_tests
+
+class TestFTrace(BaseTestThermal):
+ def __init__(self, *args, **kwargs):
+ super(TestFTrace, self).__init__(*args, **kwargs)
+ self.map_label = {"00000000,00000006": "A57", "00000000,00000039": "A53"}
+
+ def test_ftrace_has_all_classes(self):
+ """The FTrace() class has members for all classes"""
+
+ trace = trappy.FTrace()
+
+ for attr in trace.class_definitions.iterkeys():
+ self.assertTrue(hasattr(trace, attr))
+
+ def test_ftrace_has_all_classes_scope_all(self):
+ """The FTrace() class has members for all classes with scope=all"""
+
+ trace = trappy.FTrace(scope="all")
+
+ for attr in trace.class_definitions.iterkeys():
+ self.assertTrue(hasattr(trace, attr))
+
+ def test_ftrace_has_all_classes_scope_thermal(self):
+ """The FTrace() class has only members for thermal classes with scope=thermal"""
+
+ trace = trappy.FTrace(scope="thermal")
+
+ for attr in trace.thermal_classes.iterkeys():
+ self.assertTrue(hasattr(trace, attr))
+
+ for attr in trace.sched_classes.iterkeys():
+ self.assertFalse(hasattr(trace, attr))
+
+ def test_ftrace_has_all_classes_scope_sched(self):
+ """The FTrace() class has only members for sched classes with scope=sched"""
+
+ trace = trappy.FTrace(scope="sched")
+
+ for attr in trace.thermal_classes.iterkeys():
+ self.assertFalse(hasattr(trace, attr))
+
+ for attr in trace.sched_classes.iterkeys():
+ self.assertTrue(hasattr(trace, attr))
+
+ def test_ftrace_has_no_classes_scope_dynamic(self):
+ """The FTrace() class has only dynamically registered classes with scope=custom"""
+
+ trace = trappy.FTrace(scope="custom")
+
+ for attr in trace.thermal_classes.iterkeys():
+ self.assertFalse(hasattr(trace, attr))
+
+ for attr in trace.sched_classes.iterkeys():
+ self.assertFalse(hasattr(trace, attr))
+
+ ftrace_parser = trappy.register_dynamic_ftrace("ADynamicEvent",
+ "a_dynamic_event")
+ trace = trappy.FTrace(scope="custom")
+
+ self.assertTrue(hasattr(trace, "a_dynamic_event"))
+
+ trappy.unregister_dynamic_ftrace(ftrace_parser)
+
+
+ def test_ftrace_doesnt_overwrite_parsed_event(self):
+ """FTrace().add_parsed_event() should not override an event that's already present"""
+ trace = trappy.FTrace()
+ dfr = pd.DataFrame({"temp": [45000, 46724, 45520]},
+ index=pd.Series([1.020, 1.342, 1.451], name="Time"))
+
+ with self.assertRaises(ValueError):
+ trace.add_parsed_event("sched_switch", dfr)
+
+ def test_fail_if_no_trace_dat(self):
+ """Raise an IOError with the path if there's no trace.dat and trace.txt"""
+ os.remove("trace.txt")
+ self.assertRaises(IOError, trappy.FTrace)
+
+ cwd = os.getcwd()
+
+ try:
+ trappy.FTrace(cwd)
+ except IOError as exception:
+ pass
+
+ self.assertTrue(cwd in str(exception))
+
+ def test_other_directory(self):
+ """FTrace() can grab the trace.dat from other directories"""
+
+ other_random_dir = tempfile.mkdtemp()
+ os.chdir(other_random_dir)
+
+ dfr = trappy.FTrace(self.out_dir).thermal.data_frame
+
+ self.assertTrue(len(dfr) > 0)
+ self.assertEquals(os.getcwd(), other_random_dir)
+
+ def test_ftrace_arbitrary_trace_txt(self):
+ """FTrace() works if the trace is called something other than trace.txt"""
+ arbitrary_trace_name = "my_trace.txt"
+ shutil.move("trace.txt", arbitrary_trace_name)
+
+ dfr = trappy.FTrace(arbitrary_trace_name).thermal.data_frame
+
+ self.assertTrue(len(dfr) > 0)
+ self.assertFalse(os.path.exists("trace.txt"))
+ # As there is no raw trace requested. The mytrace.raw.txt
+ # Should not have been generated
+ self.assertFalse(os.path.exists("mytrace.raw.txt"))
+
+ def test_ftrace_autonormalize_time(self):
+ """FTrace() normalizes by default"""
+
+ trace = trappy.FTrace()
+
+ self.assertEquals(round(trace.thermal.data_frame.index[0], 7), 0)
+
+ def test_ftrace_dont_normalize_time(self):
+ """FTrace() doesn't normalize if asked not to"""
+
+ trace = trappy.FTrace(normalize_time=False)
+
+ self.assertNotEquals(round(trace.thermal.data_frame.index[0], 7), 0)
+
+ def test_ftrace_basetime(self):
+ """Test that basetime calculation is correct"""
+
+ trace = trappy.FTrace(normalize_time=False)
+
+ basetime = trace.thermal.data_frame.index[0]
+
+ self.assertEqual(trace.basetime, basetime)
+
+ def test_ftrace_duration(self):
+ """Test get_duration: normalize_time=False"""
+
+ trace = trappy.FTrace(normalize_time=True)
+
+ duration = trace.thermal_governor.data_frame.index[-1] - trace.thermal.data_frame.index[0]
+
+ self.assertEqual(trace.get_duration(), duration)
+
+ def test_ftrace_duration_not_normalized(self):
+ """Test get_duration: normalize_time=True"""
+
+ trace = trappy.FTrace(normalize_time=False)
+
+ duration = trace.thermal_governor.data_frame.index[-1] - trace.thermal.data_frame.index[0]
+
+ self.assertEqual(trace.get_duration(), duration)
+
+
+ def test_ftrace_normalize_time(self):
+ """FTrace().normalize_time() works accross all classes"""
+
+ return # HACK: Time normalization test no longer valid
+
+ trace = trappy.FTrace(normalize_time=False)
+
+ prev_inpower_basetime = trace.cpu_in_power.data_frame.index[0]
+ prev_inpower_last = trace.cpu_in_power.data_frame.index[-1]
+
+ trace.normalize_time()
+
+ self.assertEquals(round(trace.thermal.data_frame.index[0], 7), 0)
+
+ exp_inpower_first = prev_inpower_basetime - trace.basetime
+ self.assertEquals(round(trace.cpu_in_power.data_frame.index[0] - exp_inpower_first, 7), 0)
+
+ exp_inpower_last = prev_inpower_last - trace.basetime
+ self.assertEquals(round(trace.cpu_in_power.data_frame.index[-1] - exp_inpower_last, 7), 0)
+
+ def test_ftrace_accepts_events(self):
+ """The FTrace class accepts an events parameter with only the parameters interesting for a trace"""
+
+ trace = trappy.FTrace(scope="custom", events=["cdev_update"])
+
+ self.assertGreater(len(trace.cdev_update.data_frame), 1)
+
+ # If you specify events as a string by mistake, trappy does the right thing
+ trace = trappy.FTrace(scope="custom", events="foo")
+ self.assertTrue(hasattr(trace, "foo"))
+
+ def test_ftrace_already_registered_events_are_not_registered_again(self):
+ """FTrace(events="foo") uses class for foo if it is a known class for trappy"""
+ events = ["sched_switch", "sched_load_avg_sg"]
+ trace = trappy.FTrace(scope="custom", events=events)
+
+ self.assertTrue(trace.sched_switch.parse_raw)
+ self.assertEquals(trace.sched_load_avg_sg.pivot, "cpus")
+
+ def test_get_all_freqs_data(self):
+ """Test get_all_freqs_data()"""
+
+ allfreqs = trappy.FTrace().get_all_freqs_data(self.map_label)
+
+ self.assertEquals(allfreqs[1][1]["A53_freq_out"].iloc[3], 850)
+ self.assertEquals(allfreqs[1][1]["A53_freq_in"].iloc[1], 850)
+ self.assertEquals(allfreqs[0][1]["A57_freq_out"].iloc[2], 1100)
+ self.assertTrue("gpu_freq_in" in allfreqs[2][1].columns)
+
+ # Make sure there are no NaNs in the middle of the array
+ self.assertTrue(allfreqs[0][1]["A57_freq_in"].notnull().all())
+
+ def test_plot_freq_hists(self):
+ """Test that plot_freq_hists() doesn't bomb"""
+
+ trace = trappy.FTrace()
+
+ _, axis = matplotlib.pyplot.subplots(nrows=2)
+ trace.plot_freq_hists(self.map_label, axis)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_load(self):
+ """Test that plot_load() doesn't explode"""
+ trace = trappy.FTrace()
+ trace.plot_load(self.map_label, title="Util")
+
+ _, ax = matplotlib.pyplot.subplots()
+ trace.plot_load(self.map_label, ax=ax)
+
+ def test_plot_normalized_load(self):
+ """Test that plot_normalized_load() doesn't explode"""
+
+ trace = trappy.FTrace()
+
+ _, ax = matplotlib.pyplot.subplots()
+ trace.plot_normalized_load(self.map_label, ax=ax)
+
+ def test_plot_allfreqs(self):
+ """Test that plot_allfreqs() doesn't bomb"""
+
+ trace = trappy.FTrace()
+
+ trace.plot_allfreqs(self.map_label)
+ matplotlib.pyplot.close('all')
+
+ _, axis = matplotlib.pyplot.subplots(nrows=2)
+
+ trace.plot_allfreqs(self.map_label, ax=axis)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_allfreqs_with_one_actor(self):
+ """Check that plot_allfreqs() works with one actor"""
+
+ in_data = """ kworker/4:1-397 [004] 720.741349: thermal_power_cpu_get: cpus=00000000,00000006 freq=1400000 raw_cpu_power=189 load={23, 12} power=14
+ kworker/4:1-397 [004] 720.741679: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1400000 cdev_state=1 power=14"""
+
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ trace = trappy.FTrace()
+ map_label = {"00000000,00000006": "A57"}
+ _, axis = matplotlib.pyplot.subplots(nrows=1)
+
+ trace.plot_allfreqs(map_label, ax=[axis])
+ matplotlib.pyplot.close('all')
+
+ def test_trace_metadata(self):
+ """Test if metadata gets populated correctly"""
+
+ expected_metadata = {}
+ expected_metadata["version"] = "6"
+ expected_metadata["cpus"] = "6"
+
+ trace = trappy.FTrace()
+ for key, value in expected_metadata.items():
+ self.assertTrue(hasattr(trace, "_" + key))
+ self.assertEquals(getattr(trace, "_" + key), value)
+
+ def test_missing_metadata(self):
+ """Test if trappy.FTrace() works with a trace missing metadata info"""
+ lines = []
+
+ with open("trace.txt", "r") as fil:
+ lines += fil.readlines()
+ lines = lines[7:]
+ fil.close()
+
+ with open("trace.txt", "w") as fil:
+ fil.write("".join(lines))
+ fil.close()
+
+ trace = trappy.FTrace()
+ self.assertEquals(trace._cpus, None)
+ self.assertEquals(trace._version, None)
+ self.assertTrue(len(trace.thermal.data_frame) > 0)
+
+ def test_ftrace_accepts_window(self):
+ """FTrace class accepts a window parameter"""
+ trace = trappy.FTrace(window=(1.234726, 5.334726))
+ self.assertEquals(trace.thermal.data_frame.iloc[0]["temp"], 68989)
+ self.assertEquals(trace.thermal.data_frame.iloc[-1]["temp"], 69530)
+
+ def test_ftrace_accepts_abs_window(self):
+ """FTrace class accepts an abs_window parameter"""
+ trace = trappy.FTrace(abs_window=(1585, 1589.1))
+ self.assertEquals(trace.thermal.data_frame.iloc[0]["temp"], 68989)
+ self.assertEquals(trace.thermal.data_frame.iloc[-1]["temp"], 69530)
+
+
+@unittest.skipUnless(utils_tests.trace_cmd_installed(),
+ "trace-cmd not installed")
+class TestFTraceRawDat(utils_tests.SetupDirectory):
+
+ def __init__(self, *args, **kwargs):
+ super(TestFTraceRawDat, self).__init__(
+ [("raw_trace.dat", "trace.dat")],
+ *args,
+ **kwargs)
+
+ def test_raw_dat(self):
+ """Tests an event that relies on raw parsing"""
+
+ trace = trappy.FTrace()
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertTrue(len(trace.sched_switch.data_frame) > 0)
+ self.assertTrue("prev_comm" in trace.sched_switch.data_frame.columns)
+
+ def test_raw_dat_arb_name(self):
+ """Tests an event that relies on raw parsing with arbitrary .dat file name"""
+
+ arbitrary_name = "my_trace.dat"
+ shutil.move("trace.dat", arbitrary_name)
+
+ trace = trappy.FTrace(arbitrary_name)
+ self.assertTrue(os.path.isfile("my_trace.raw.txt"))
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertTrue(len(trace.sched_switch.data_frame) > 0)
+
+ def test_raw_created_if_dat_and_txt_exist(self):
+ """trace.raw.txt is created when both trace.dat and trace.txt exist"""
+
+ # Create the trace.txt
+ cmd = ["trace-cmd", "report", "trace.dat"]
+ with open(os.devnull) as devnull:
+ out = subprocess.check_output(cmd, stderr=devnull)
+
+ with open("trace.txt", "w") as fout:
+ fout.write(out)
+
+ # Now check that the raw trace is created and analyzed when creating the trace
+ trace = trappy.FTrace()
+
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertTrue(len(trace.sched_switch.data_frame) > 0)
+ self.assertTrue("prev_comm" in trace.sched_switch.data_frame.columns)
+
+class TestFTraceRawBothTxt(utils_tests.SetupDirectory):
+
+ def __init__(self, *args, **kwargs):
+ super(TestFTraceRawBothTxt, self).__init__(
+ [("raw_trace.txt", "trace.txt"),
+ ("raw_trace.raw.txt", "trace.raw.txt")],
+ *args,
+ **kwargs)
+
+ def test_both_txt_files(self):
+ """test raw parsing for txt files"""
+
+ self.assertFalse(os.path.isfile("trace.dat"))
+ trace = trappy.FTrace()
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertTrue(len(trace.sched_switch.data_frame) > 0)
+
+ def test_both_txt_arb_name(self):
+ """Test raw parsing for txt files arbitrary name"""
+
+ arbitrary_name = "my_trace.txt"
+ arbitrary_name_raw = "my_trace.raw.txt"
+
+ shutil.move("trace.txt", arbitrary_name)
+ shutil.move("trace.raw.txt", arbitrary_name_raw)
+
+ trace = trappy.FTrace(arbitrary_name)
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertTrue(len(trace.sched_switch.data_frame) > 0)
+
+class TestFTraceSched(utils_tests.SetupDirectory):
+ """Tests using a trace with only sched info and no (or partial) thermal"""
+
+ def __init__(self, *args, **kwargs):
+ super(TestFTraceSched, self).__init__(
+ [("trace_empty.txt", "trace.txt")],
+ *args,
+ **kwargs)
+
+ def test_ftrace_basetime_empty(self):
+ """Test that basetime is 0 if data frame of all data objects is empty"""
+
+ trace = trappy.FTrace(normalize_time=False)
+
+ self.assertEqual(trace.basetime, 0)
+
+ def test_ftrace_unique_but_no_fields(self):
+ """Test with a matching unique but no special fields"""
+ version_parser = trappy.register_dynamic_ftrace("Version", "version")
+
+ with self.assertRaises(ValueError):
+ trappy.FTrace(scope="custom")
+
+ trappy.unregister_dynamic_ftrace(version_parser)
+
+ def test_ftrace_normalize_some_tracepoints(self):
+ """Test that normalizing time works if not all the tracepoints are in the trace"""
+
+ with open("trace.txt", "a") as fil:
+ fil.write(" kworker/4:1-1219 [004] 508.424826: thermal_temperature: thermal_zone=exynos-therm id=0 temp_prev=24000 temp=24000")
+
+ trace = trappy.FTrace()
+
+ self.assertEqual(trace.thermal.data_frame.index[0], 0)
+
+@unittest.skipUnless(utils_tests.trace_cmd_installed(),
+ "trace-cmd not installed")
+class TestTraceDat(utils_tests.SetupDirectory):
+ """Test that trace.dat handling work"""
+ def __init__(self, *args, **kwargs):
+ super(TestTraceDat, self).__init__(
+ [("trace.dat", "trace.dat")],
+ *args, **kwargs)
+
+ def assert_thermal_in_trace(self, fname):
+ """Assert that the thermal event is in the trace
+
+ fname is the trace file, usually "trace.txt" or "trace.raw.txt"
+ """
+
+ found = False
+ with open(fname) as fin:
+ for line in fin:
+ if re.search("thermal", line):
+ found = True
+ break
+
+ self.assertTrue(found)
+
+ def test_do_txt_if_not_there(self):
+ """Create trace.txt if it's not there"""
+ self.assertFalse(os.path.isfile("trace.txt"))
+
+ trappy.FTrace()
+
+ self.assert_thermal_in_trace("trace.txt")
+
+ def test_do_raw_txt_if_not_there(self):
+ """Create trace.raw.txt if it's not there"""
+ self.assertFalse(os.path.isfile("trace.raw.txt"))
+
+ trappy.FTrace()
+
+ self.assert_thermal_in_trace("trace.raw.txt")
+
+ def test_ftrace_arbitrary_trace_dat(self):
+ """FTrace() works if asked to parse a binary trace with a filename other than trace.dat"""
+ arbitrary_trace_name = "my_trace.dat"
+ shutil.move("trace.dat", arbitrary_trace_name)
+
+ dfr = trappy.FTrace(arbitrary_trace_name).thermal.data_frame
+
+ self.assertTrue(os.path.exists("my_trace.txt"))
+ self.assertTrue(os.path.exists("my_trace.raw.txt"))
+ self.assertTrue(len(dfr) > 0)
+ self.assertFalse(os.path.exists("trace.dat"))
+ self.assertFalse(os.path.exists("trace.txt"))
+ self.assertFalse(os.path.exists("trace.raw.txt"))
+
+ def test_regenerate_txt_if_outdated(self):
+ """Regenerate the trace.txt if it's older than the trace.dat"""
+
+ trappy.FTrace()
+
+ # Empty the trace.txt
+ with open("trace.txt", "w") as fout:
+ fout.write("")
+
+ # Set access and modified time of trace.txt to 10 seconds ago
+ now = time.time()
+ os.utime("trace.txt", (now - 10, now - 10))
+
+ # touch trace.dat
+ os.utime("trace.dat", None)
+
+ trappy.FTrace()
+
+ self.assert_thermal_in_trace("trace.txt")
diff --git a/tests/test_idle.py b/tests/test_idle.py
new file mode 100644
index 0000000..483d528
--- /dev/null
+++ b/tests/test_idle.py
@@ -0,0 +1,68 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+import os
+import sys
+import unittest
+
+import pandas as pd
+from pandas.util.testing import assert_series_equal
+
+import utils_tests
+import trappy
+
+@unittest.skipUnless(utils_tests.trace_cmd_installed(),
+ "trace-cmd not installed")
+class TestCpuIdle(utils_tests.SetupDirectory):
+ def __init__(self, *args, **kwargs):
+ super(TestCpuIdle, self).__init__(
+ [("trace_idle.dat", "trace.dat")],
+ *args,
+ **kwargs)
+
+ def test_get_dataframe(self):
+ """Test that CpuIdle creates a proper data_frame"""
+
+ df = trappy.FTrace(normalize_time=False).cpu_idle.data_frame
+
+ exp_index = pd.Float64Index([
+ 162534.215764,
+ 162534.216001,
+ 162534.216552,
+ 162534.216568,
+ 162534.217401,
+ 162534.217521,
+ 162534.217655,
+ 162534.219077,
+ 162534.219252,
+ 162534.219268,
+ 162534.219329,
+ 162534.219336,
+ 162534.219587,
+ 162534.219763,
+ 162534.219853,
+ 162534.220947,
+ 162534.220947
+ ], name="Time")
+
+ exp_states = pd.Series([
+ 2, -1, 2, -1, -1, -1, 2, -1, 2, -1, 0, 0, 2, -1, 2, -1, -1
+ ], index=exp_index, name="state")
+ exp_cpus = pd.Series([
+ 5, 2, 2, 1, 3, 0, 0, 0, 0, 0, 1, 3, 0, 0, 0, 3, 1
+ ], index=exp_index, name="cpu_id")
+
+ assert_series_equal(df["state"], exp_states, check_exact=True)
+ assert_series_equal(df["cpu_id"], exp_cpus, check_exact=True)
diff --git a/tests/test_pid.py b/tests/test_pid.py
new file mode 100644
index 0000000..6a11280
--- /dev/null
+++ b/tests/test_pid.py
@@ -0,0 +1,45 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import matplotlib
+
+from test_thermal import BaseTestThermal
+import trappy
+
+class TestPIDController(BaseTestThermal):
+ def test_dataframe(self):
+ """Test that PIDController() generates a valid data_frame"""
+ pid = trappy.FTrace().pid_controller
+
+ self.assertTrue(len(pid.data_frame) > 0)
+ self.assertTrue("err_integral" in pid.data_frame.columns)
+ self.assertEquals(pid.data_frame["err"].iloc[0], 3225)
+
+ def test_plot_controller(self):
+ """Test PIDController.plot_controller()
+
+ As it happens with all plot functions, just test that it doesn't explode"""
+ pid = trappy.FTrace().pid_controller
+
+ pid.plot_controller()
+ matplotlib.pyplot.close('all')
+
+ pid.plot_controller(title="Antutu", width=20, height=5)
+ matplotlib.pyplot.close('all')
+
+ _, ax = matplotlib.pyplot.subplots()
+ pid.plot_controller(ax=ax)
+ matplotlib.pyplot.close('all')
diff --git a/tests/test_plot_utils.py b/tests/test_plot_utils.py
new file mode 100644
index 0000000..8ecae2f
--- /dev/null
+++ b/tests/test_plot_utils.py
@@ -0,0 +1,255 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+import matplotlib
+import pandas as pd
+
+from test_thermal import BaseTestThermal
+import trappy
+import plot_utils
+
+class TestPlotUtils(unittest.TestCase):
+ def test_normalize_title(self):
+ """Test normalize_title"""
+ self.assertEquals(plot_utils.normalize_title("Foo", ""), "Foo")
+ self.assertEquals(plot_utils.normalize_title("Foo", "Bar"), "Bar - Foo")
+
+ def test_set_lim(self):
+ """Test set_lim()"""
+
+ class GetSet(object):
+ def __init__(self):
+ self.min = 1
+ self.max = 2
+
+ def get(self):
+ return (self.min, self.max)
+
+ def set(self, minimum, maximum):
+ self.min = minimum
+ self.max = maximum
+
+ gs = GetSet()
+
+ plot_utils.set_lim("default", gs.get, gs.set)
+ self.assertEquals(gs.min, 1)
+ self.assertEquals(gs.max, 2)
+
+ plot_utils.set_lim("range", gs.get, gs.set)
+ self.assertEquals(gs.min, 0.9)
+ self.assertEquals(gs.max, 2.1)
+
+ plot_utils.set_lim((0, 100), gs.get, gs.set)
+ self.assertEquals(gs.min, 0)
+ self.assertEquals(gs.max, 100)
+
+ def test_set_ylim(self):
+ """Test that set_ylim() doesn't bomb"""
+
+ _, ax = matplotlib.pyplot.subplots()
+
+ plot_utils.set_ylim(ax, "default")
+ plot_utils.set_ylim(ax, (0, 5))
+
+ def test_set_xlim(self):
+ """Test that set_xlim() doesn't bomb"""
+
+ _, ax = matplotlib.pyplot.subplots()
+
+ plot_utils.set_xlim(ax, "default")
+ plot_utils.set_xlim(ax, (0, 5))
+
+ def test_pre_plot_setup(self):
+ """Test that plot_utils.pre_plot_setup() doesn't bomb"""
+ plot_utils.pre_plot_setup(None, None)
+ plot_utils.pre_plot_setup(height=9, width=None)
+ plot_utils.pre_plot_setup(height=None, width=9)
+ plot_utils.pre_plot_setup(3, 9)
+
+ axis = plot_utils.pre_plot_setup(ncols=2)
+ self.assertEquals(len(axis), 2)
+
+ axis = plot_utils.pre_plot_setup(nrows=2, ncols=3)
+ self.assertEquals(len(axis), 2)
+ self.assertEquals(len(axis[0]), 3)
+ self.assertEquals(len(axis[1]), 3)
+
+ def test_post_plot_setup(self):
+ """Test that post_plot_setup() doesn't bomb"""
+
+ _, ax = matplotlib.pyplot.subplots()
+
+ plot_utils.post_plot_setup(ax)
+ plot_utils.post_plot_setup(ax, title="Foo")
+ plot_utils.post_plot_setup(ax, ylim=(0, 72))
+ plot_utils.post_plot_setup(ax, ylim="range")
+ plot_utils.post_plot_setup(ax, xlabel="Bar")
+ plot_utils.post_plot_setup(ax, xlim=(0, 100))
+ plot_utils.post_plot_setup(ax, xlim="default")
+
+ def test_plot_hist(self):
+ """Test that plost_hist doesn't bomb"""
+ data = pd.Series([1, 1, 2, 4])
+
+ _, ax = matplotlib.pyplot.subplots()
+ plot_utils.plot_hist(data, ax, "Foo", "m", 20, "numbers", (0, 4), "default")
+
+class TestPlotUtilsNeedTrace(BaseTestThermal):
+ def __init__(self, *args, **kwargs):
+ super(TestPlotUtilsNeedTrace, self).__init__(*args, **kwargs)
+ self.map_label = {"00000000,00000039": "A53", "00000000,00000006": "A57"}
+ self.actor_order = ["GPU", "A57", "A53"]
+
+ def test_number_freq_plots(self):
+ """Calculate the number of frequency plots correctly"""
+ trace_out = ""
+
+ trace = trappy.FTrace()
+ self.assertEquals(plot_utils.number_freq_plots([trace], self.map_label),
+ 3)
+
+ # Strip out devfreq traces
+ with open("trace.txt") as fin:
+ for line in fin:
+ if ("thermal_power_devfreq_get_power:" not in line) and \
+ ("thermal_power_devfreq_limit:" not in line):
+ trace_out += line
+
+ with open("trace.txt", "w") as fout:
+ fout.write(trace_out)
+
+ # Without devfreq there should only be two plots
+ trace = trappy.FTrace()
+ self.assertEquals(plot_utils.number_freq_plots([trace], self.map_label),
+ 2)
+
+ def test_plot_temperature(self):
+ """Test that plot_utils.plot_temperature() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_temperature(traces, ylim="default")
+ matplotlib.pyplot.close('all')
+
+ def test_plot_load(self):
+ """Test that plot_utils.plot_load() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_load(traces, self.map_label, height=5)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_load_single_trace(self):
+ """plot_utils.plot_load() can be used with a single trace"""
+ trace = trappy.FTrace()
+
+ plot_utils.plot_load([trace], self.map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_allfreqs(self):
+ """Test that plot_utils.plot_allfreqs() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_allfreqs(traces, self.map_label, width=20)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_allfreqs_single_trace(self):
+ """plot_utils.plot_allfreqs() can be used with a single trace"""
+ trace = trappy.FTrace()
+
+ plot_utils.plot_allfreqs([trace], self.map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_allfreqs_one_actor(self):
+ """plot_utils.plot_allfreqs work when there is only one actor"""
+
+ in_data = """ kworker/4:1-397 [004] 720.741349: thermal_power_cpu_get: cpus=00000000,00000006 freq=1400000 raw_cpu_power=189 load={23, 12} power=14
+ kworker/4:1-397 [004] 720.741679: thermal_power_cpu_limit: cpus=00000000,00000006 freq=1400000 cdev_state=1 power=14"""
+
+ with open("trace.txt", "w") as fout:
+ fout.write(in_data)
+
+ traces = [trappy.FTrace(name="first"), trappy.FTrace(name="second")]
+ map_label = {"00000000,00000006": "A57"}
+
+ plot_utils.plot_allfreqs(traces, map_label)
+ matplotlib.pyplot.close("all")
+
+ def test_plot_controller(self):
+ """plot_utils.plot_controller() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_controller(traces, height=5)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_input_power(self):
+ """plot_utils.plot_input_power() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_input_power(traces, self.actor_order, width=20)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_output_power(self):
+ """plot_utils.plot_output_power() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_output_power(traces, self.actor_order, width=20)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_freq_hists(self):
+ """plot_utils.plot_freq_hists() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_freq_hists(traces, self.map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_freq_hists_single_trace(self):
+ """plot_utils.plot_freq_hists() works with a single trace"""
+
+ trace = trappy.FTrace()
+
+ plot_utils.plot_freq_hists([trace], self.map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_temperature_hist(self):
+ """plot_utils.plot_temperature_hist() doesn't bomb"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ traces = [trace1, trace2]
+
+ plot_utils.plot_temperature_hist(traces)
+ matplotlib.pyplot.close('all')
diff --git a/tests/test_plotter.py b/tests/test_plotter.py
new file mode 100644
index 0000000..dae91c4
--- /dev/null
+++ b/tests/test_plotter.py
@@ -0,0 +1,380 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+import matplotlib
+import numpy as np
+import pandas as pd
+import tempfile
+import os
+import warnings
+
+from test_thermal import BaseTestThermal
+import trappy
+
+
+class TestPlotter(BaseTestThermal):
+
+ """No Bombing testcases for plotter"""
+
+ def __init__(self, *args, **kwargs):
+ super(TestPlotter, self).__init__(*args, **kwargs)
+
+ def test_plot_no_pivot(self):
+ """Tests LinePlot with no pivot"""
+ trace1 = trappy.FTrace(name="first")
+ l = trappy.LinePlot(trace1, trappy.thermal.Thermal, column="temp")
+ l.view(test=True)
+
+ def test_plot_multi_trace(self):
+ """Tests LinePlot with no Pivot multi traces"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot(
+ [trace1, trace2], trappy.thermal.Thermal, column="temp")
+ l.view(test=True)
+
+ def test_plot_multi(self):
+ """Tests LinePlot with no Pivot multi attrs"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot([trace1,
+ trace2],
+ [trappy.thermal.Thermal,
+ trappy.thermal.ThermalGovernor],
+ column=["temp",
+ "power_range"])
+ l.view(test=True)
+
+ def test_plot_filter(self):
+ """Tests LinePlot with no Pivot with filters"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot([trace1,
+ trace2],
+ [trappy.cpu_power.CpuOutPower],
+ column=["power"],
+ filters={"cdev_state": [0]})
+ l.view(test=True)
+
+ def test_plot_pivot(self):
+ """Tests LinePlot with Pivot"""
+ trace1 = trappy.FTrace(name="first")
+ l = trappy.LinePlot(
+ trace1,
+ trappy.thermal.Thermal,
+ column="temp",
+ pivot="thermal_zone")
+ l.view(test=True)
+
+ def test_plot_multi_trace_pivot(self):
+ """Tests LinePlot with Pivot multi traces"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot(
+ [trace1, trace2], trappy.cpu_power.CpuOutPower, column="power", pivot="cpus")
+ l.view(test=True)
+
+ def test_plot_multi_pivot(self):
+ """Tests LinePlot with Pivot with multi attrs"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot([trace1,
+ trace2],
+ [trappy.cpu_power.CpuInPower,
+ trappy.cpu_power.CpuOutPower],
+ column=["dynamic_power",
+ "power"],
+ pivot="cpus")
+ l.view(test=True)
+
+ def test_plot_multi_pivot_filter(self):
+ """Tests LinePlot with Pivot and filters"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot(
+ trace1,
+ trappy.cpu_power.CpuInPower,
+ column=[
+ "dynamic_power",
+ "load1"],
+ filters={
+ "cdev_state": [
+ 1,
+ 0]},
+ pivot="cpus")
+ l.view(test=True)
+
+ def test_plot_savefig(self):
+ """Tests plotter: savefig"""
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+ l = trappy.LinePlot(
+ trace1,
+ trappy.cpu_power.CpuInPower,
+ column=[
+ "dynamic_power",
+ "load1"],
+ filters={
+ "cdev_state": [
+ 1,
+ 0]},
+ pivot="cpus")
+ png_file = tempfile.mktemp(dir="/tmp", suffix=".png")
+ l.savefig(png_file)
+ self.assertTrue(os.path.isfile(png_file))
+ os.remove(png_file)
+
+
+ def test_signals(self):
+ """Test signals input for LinePlot"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+
+ l = trappy.LinePlot([trace1,
+ trace2],
+ signals=["cpu_in_power:dynamic_power",
+ "cpu_out_power:power"],
+ pivot="cpus")
+
+ self.assertTrue(isinstance(l.templates[0], type(trappy.cpu_power.CpuInPower)))
+ self.assertEquals(l._attr["column"][0], "dynamic_power")
+ self.assertTrue(l.templates[1], type(trappy.cpu_power.CpuOutPower))
+ self.assertEquals(l._attr["column"][1], "power")
+ self.assertTrue("colors" not in l._attr)
+
+ # Check that plotting doesn't barf
+ l.view(test=True)
+
+
+ def test_signals_exceptions(self):
+ """Test incorrect input combinations: signals"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+
+ with self.assertRaises(ValueError):
+ l = trappy.LinePlot([trace1, trace2],
+ column=[
+ "dynamic_power",
+ "load1"],
+ signals=["cpu_in_power:dynamic_power",
+ "cpu_out_power:power"],
+ pivot="cpus")
+
+ with self.assertRaises(ValueError):
+ l = trappy.LinePlot([trace1, trace2],
+ trappy.cpu_power.CpuInPower,
+ signals=["cpu_in_power:dynamic_power",
+ "cpu_out_power:power"],
+ pivot="cpus")
+
+ with self.assertRaises(ValueError):
+ l = trappy.LinePlot([trace1, trace2],
+ trappy.cpu_power.CpuInPower,
+ column=[
+ "dynamic_power",
+ "load1"],
+ signals=["cpu_in_power:dynamic_power",
+ "cpu_out_power:power"],
+ pivot="cpus")
+
+ def test_signals_invalid(self):
+ """Test that invalid signal defs result in a helpful errror"""
+ trace = trappy.FTrace()
+
+ with self.assertRaises(ValueError) as assertion:
+ l = trappy.LinePlot(trace, signals=["INVALID_SIGNAL_DEF"])
+ msg = str(assertion.exception)
+ self.assertIn("Invalid signal definition", msg)
+ self.assertIn("INVALID_SIGNAL_DEF", msg)
+
+ def test_signals_colors(self):
+ """Test signals with colors in LinePlot"""
+
+ trace1 = trappy.FTrace(name="first")
+ trace2 = trappy.FTrace(name="second")
+
+ l = trappy.LinePlot([trace1, trace2],
+ signals=["thermal:temp:1,2,3",
+ "cpu_in_power:load2:200,100,0"],
+ pivot="cpus")
+
+ self.assertTrue(isinstance(l.templates[0], type(trappy.thermal.Thermal)))
+ self.assertEquals(l._attr["column"][0], "temp")
+ self.assertEquals(l._attr["colors"][0], [1, 2, 3])
+ self.assertTrue(l.templates[1], type(trappy.cpu_power.CpuInPower))
+ self.assertEquals(l._attr["column"][1], "load2")
+ self.assertEquals(l._attr["colors"][1], [200, 100, 0])
+
+ # Check that plotting doesn't barf
+ l.view(test=True)
+
+ # Test hex color
+ l = trappy.LinePlot([trace1, trace2],
+ signals=["thermal:prev_temp:0xff,0x3a,0x3"],
+ pivot="cpus")
+ self.assertEquals(l._attr["colors"][0], [0xff, 0x3a, 0x3])
+
+ def test_lineplot_dataframe(self):
+ """LinePlot plots DataFrames without exploding"""
+ data = np.random.randn(4, 2)
+ dfr = pd.DataFrame(data, columns=["tick", "tock"]).cumsum()
+ trappy.LinePlot(dfr, column=["tick"]).view(test=True)
+
+ def test_get_trace_event_data_corrupted_trace(self):
+ """get_trace_event_data() works with a corrupted trace"""
+ from trappy.plotter.Utils import get_trace_event_data
+
+ trace = trappy.FTrace()
+
+ # We create this trace:
+ #
+ # 1 15414 -> 15411
+ # 2 15411 -> 15414
+ # 3 15414 -> 15411 (corrupted, should be dropped)
+ # 4 15413 -> 15411
+ # 5 15411 -> 15413
+ #
+ # Which should plot like:
+ #
+ # CPU
+ # +-------+-------+
+ # 0 | 15411 | 15414 |
+ # +-------+-------+ +-------+
+ # 1 | 15411 |
+ # +-------+
+ # +-------+-------+-------+-------+
+ # 0.1 0.2 0.3 0.4 0.5
+
+ broken_trace = pd.DataFrame({
+ '__comm': ["task2", "task1", "task2", "task3", "task1"],
+ '__cpu': [0, 0, 0, 1, 1],
+ '__pid': [15414, 15411, 15414, 15413, 15411],
+ 'next_comm': ["task1", "task2", "task1", "task1", "task3"],
+ 'next_pid': [15411, 15414, 15411, 15411, 15413],
+ 'prev_comm': ["task2", "task1", "task2", "task3", "task1"],
+ 'prev_pid': [15414, 15411, 15414, 15413, 15411],
+ 'prev_state': ["S", "R", "S", "S", "S"]},
+ index=pd.Series(range(1, 6), name="Time"))
+
+ trace.sched_switch.data_frame = broken_trace
+
+ with warnings.catch_warnings(record=True) as warn:
+ data, procs, window = get_trace_event_data(trace)
+ self.assertEquals(len(warn), 1)
+
+ warn_str = str(warn[-1])
+ self.assertTrue("15411" in warn_str)
+ self.assertTrue("4" in warn_str)
+
+ zipped_comms = zip(broken_trace["next_comm"], broken_trace["next_pid"])
+ expected_procs = set("-".join([comm, str(pid)]) for comm, pid in zipped_comms)
+
+ self.assertTrue([1, 2, 0] in data["task1-15411"])
+ self.assertTrue([2, 3, 0] in data["task2-15414"])
+ self.assertTrue([4, 5, 1] in data["task1-15411"])
+ self.assertEquals(procs, expected_procs)
+ self.assertEquals(window, [1, 5])
+
+class TestILinePlotter(unittest.TestCase):
+ def test_simple_dfr(self):
+ """ILinePlot doesn't barf when plotting DataFrames"""
+ dfr1 = pd.DataFrame([1, 2, 3, 4], columns=["a"])
+ dfr2 = pd.DataFrame([2, 3, 4, 5], columns=["a"])
+
+ trappy.ILinePlot([dfr1, dfr2], column=["a", "a"]).view(test=True)
+
+ with self.assertRaises(ValueError):
+ trappy.ILinePlot([dfr1, dfr2]).view(test=True)
+
+ def test_df_to_dygraph(self):
+ """Test the ILinePlot util function: df_to_dygraph"""
+
+ dfr1 = pd.DataFrame([[1, 2],
+ [3, 4],
+ [5, 6]],
+ index=[0., 1., 2.], columns=["a", "b"])
+
+ dfr2 = pd.DataFrame([1, 2, 3, 4],
+ index=[0., 1., 2., 3.], columns=["a"])
+
+ expected_result_1 = {
+ 'labels': ['index', 'a', 'b'],
+ 'data': [[0.0, 1, 2], [1.0, 3, 4], [2.0, 5, 6]]
+ }
+ expected_result_2 = {
+ 'labels': ['index', 'a'],
+ 'data': [[0.0, 1], [1.0, 2], [2.0, 3], [3.0, 4]]
+ }
+
+ result_1 = trappy.plotter.ILinePlotGen.df_to_dygraph(dfr1)
+ result_2 = trappy.plotter.ILinePlotGen.df_to_dygraph(dfr2)
+
+ self.assertDictEqual(result_1, expected_result_1)
+ self.assertDictEqual(result_2, expected_result_2)
+
+ def test_duplicate_merging(self):
+ dfr1 = pd.DataFrame([1, 2, 3, 4], index=[0., 0., 1., 2.], columns=["a"])
+ dfr2 = pd.DataFrame([2, 3, 4, 5], index=[1., 1., 1., 2.], columns=["a"])
+
+ trappy.ILinePlot([dfr1, dfr2], column=["a", "a"]).view(test=True)
+
+ def test_independent_series_merging(self):
+ """ILinePlot fixes indexes of independent series"""
+ index1 = [0., 1., 2., 3.]
+ s1 = pd.Series([1, 2, 3, 4], index=index1)
+ index2 = [0.5, 1.5, 2.5, 3.5]
+ s2 = pd.Series([2, 3, 4, 5], index=index2)
+
+ dfr = pd.DataFrame([0, 1, 2, 3], columns=["a"])
+ iplot = trappy.ILinePlot(dfr, column=["a"])
+ s = {"s1": s1, "s2": s2}
+ merged = iplot._fix_indexes(s)
+
+ expected_index = index1 + index2
+ expected_index.sort()
+ self.assertEquals(expected_index, sorted(merged["s1"].keys()))
+
+ def test_dygraph_colors(self):
+ """Check that to_dygraph_colors() constructs a valid dygraph colors argument"""
+ from trappy.plotter.ColorMap import to_dygraph_colors
+
+ color_map = [[86, 58, 206]]
+ expected = '["rgb(86, 58, 206)"]'
+ self.assertEquals(to_dygraph_colors(color_map), expected)
+
+ color_map = [[0, 0, 0], [123, 23, 45]]
+ expected = '["rgb(0, 0, 0)", "rgb(123, 23, 45)"]'
+ self.assertEquals(to_dygraph_colors(color_map), expected)
+
+class TestBarPlot(unittest.TestCase):
+ def setUp(self):
+ self.dfr = pd.DataFrame({"foo": [1, 2, 3],
+ "bar": [2, 3, 1],
+ "baz": [3, 2, 1]})
+
+ def test_barplot_dfr(self):
+ """BarPlot plots dataframes without exploding"""
+ trappy.BarPlot(self.dfr, column=["foo", "bar"]).view(test=True)
+
+ def test_barplot_trace(self):
+ """BarPlot plots traces without exploding"""
+ trace = trappy.BareTrace()
+ trace.add_parsed_event("event", self.dfr)
+
+ trappy.BarPlot(trace, signals=["event:foo", "event:bar"]).view(test=True)
diff --git a/tests/test_results.py b/tests/test_results.py
new file mode 100644
index 0000000..e375daf
--- /dev/null
+++ b/tests/test_results.py
@@ -0,0 +1,135 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import os, sys
+import shutil
+import tempfile
+import matplotlib
+import pandas as pd
+
+import utils_tests
+sys.path.append(os.path.join(utils_tests.TESTS_DIRECTORY, "..", "trappy"))
+from trappy.wa import Result, get_results, combine_results
+
+class TestResults(utils_tests.SetupDirectory):
+ def __init__(self, *args, **kwargs):
+ super(TestResults, self).__init__(
+ [("results.csv", "results.csv")],
+ *args, **kwargs)
+
+ def test_get_results(self):
+ results_frame = get_results()
+
+ self.assertEquals(type(results_frame), Result)
+ self.assertEquals(type(results_frame.columns), pd.core.index.MultiIndex)
+ self.assertEquals(results_frame["antutu"]["power_allocator"][0], 5)
+ self.assertEquals(results_frame["antutu"]["step_wise"][1], 9)
+ self.assertEquals(results_frame["antutu"]["step_wise"][2], 7)
+ self.assertEquals(results_frame["t-rex_offscreen"]["power_allocator"][0], 1777)
+ self.assertEquals(results_frame["geekbench"]["step_wise"][0], 8)
+ self.assertEquals(results_frame["geekbench"]["power_allocator"][1], 1)
+ self.assertAlmostEquals(results_frame["thechase"]["step_wise"][0], 242.0522258138)
+
+ def test_get_results_path(self):
+ """get_results() can be given a directory for the results.csv"""
+
+ other_random_dir = tempfile.mkdtemp()
+ os.chdir(other_random_dir)
+
+ results_frame = get_results(self.out_dir)
+
+ self.assertEquals(len(results_frame.columns), 10)
+
+ def test_get_results_filename(self):
+ """get_results() can be given a specific filename"""
+
+ old_path = os.path.join(self.out_dir, "results.csv")
+ new_path = os.path.join(self.out_dir, "new_results.csv")
+ os.rename(old_path, new_path)
+
+ results_frame = get_results(new_path)
+
+ self.assertEquals(len(results_frame.columns), 10)
+
+ def test_get_results_name(self):
+ """get_results() optional name argument overrides the one in the results file"""
+ res = get_results(name="malkovich")
+ self.assertIsNotNone(res["antutu"]["malkovich"])
+
+ def test_combine_results(self):
+ res1 = get_results()
+ res2 = get_results()
+
+ # First split them
+ res1.drop('step_wise', axis=1, level=1, inplace=True)
+ res2.drop('power_allocator', axis=1, level=1, inplace=True)
+
+ # Now combine them again
+ combined = combine_results([res1, res2])
+
+ self.assertEquals(type(combined), Result)
+ self.assertEquals(combined["antutu"]["step_wise"][0], 4)
+ self.assertEquals(combined["antutu"]["power_allocator"][0], 5)
+ self.assertEquals(combined["geekbench"]["power_allocator"][1], 1)
+ self.assertEquals(combined["t-rex_offscreen"]["step_wise"][2], 424)
+
+ def test_plot_results_benchmark(self):
+ """Test Result.plot_results_benchmark()
+
+ Can't test it, so just check that it doens't bomb
+ """
+
+ res = get_results()
+
+ res.plot_results_benchmark("antutu")
+ res.plot_results_benchmark("t-rex_offscreen", title="Glbench TRex")
+
+ (_, _, y_min, y_max) = matplotlib.pyplot.axis()
+
+ trex_data = pd.concat(res["t-rex_offscreen"][s] for s in res["t-rex_offscreen"])
+ data_min = min(trex_data)
+ data_max = max(trex_data)
+
+ # Fail if the axes are within the limits of the data.
+ self.assertTrue(data_min > y_min)
+ self.assertTrue(data_max < y_max)
+ matplotlib.pyplot.close('all')
+
+ def test_get_run_number(self):
+ from trappy.wa.results import get_run_number
+
+ self.assertEquals(get_run_number("score_2"), (True, 2))
+ self.assertEquals(get_run_number("score"), (True, 0))
+ self.assertEquals(get_run_number("score 3"), (True, 3))
+ self.assertEquals(get_run_number("FPS_1"), (True, 1))
+ self.assertEquals(get_run_number("Overall_Score"), (True, 0))
+ self.assertEquals(get_run_number("Overall_Score_2"), (True, 1))
+ self.assertEquals(get_run_number("Memory_score")[0], False)
+
+ def test_plot_results(self):
+ """Test Result.plot_results()
+
+ Can't test it, so just check that it doens't bomb
+ """
+
+ res = get_results()
+
+ res.plot_results()
+ matplotlib.pyplot.close('all')
+
+ def test_init_fig(self):
+ r1 = get_results()
+ r1.init_fig()
diff --git a/tests/test_sched.py b/tests/test_sched.py
new file mode 100644
index 0000000..6527779
--- /dev/null
+++ b/tests/test_sched.py
@@ -0,0 +1,179 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import os
+import sys
+
+import utils_tests
+import trappy
+
+sys.path.append(os.path.join(utils_tests.TESTS_DIRECTORY, "..", "trappy"))
+
+class BaseTestSched(utils_tests.SetupDirectory):
+ def __init__(self, *args, **kwargs):
+ super(BaseTestSched, self).__init__(
+ [("trace_sched.txt", "trace.txt"),
+ ("trace_sched.txt", "trace.raw.txt")],
+ *args,
+ **kwargs)
+
+class TestSchedLoadAvgSchedGroup(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedLoadAvgSchedGroup creates a proper data_frame"""
+ dfr = trappy.FTrace().sched_load_avg_sg.data_frame
+
+ self.assertTrue(len(dfr) == 1)
+ self.assertEquals(dfr["cpus"].iloc[0], "00000002")
+ self.assertEquals(dfr["load"].iloc[0], 0)
+ self.assertEquals(dfr["utilization"].iloc[0], 0)
+
+class TestSchedLoadAvgTask(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedLoadAvgTask creates a proper data_frame"""
+ dfr = trappy.FTrace().sched_load_avg_task.data_frame
+
+ self.assertTrue(len(dfr) == 1)
+ self.assertEquals(dfr["comm"].iloc[0], "sshd")
+ self.assertEquals(dfr["pid"].iloc[0], 2962)
+ self.assertEquals(dfr["load"].iloc[0], 0)
+ self.assertEquals(dfr["utilization"].iloc[0], 0)
+ self.assertEquals(dfr["runnable_avg_sum"].iloc[0], 0)
+ self.assertEquals(dfr["running_avg_sum"].iloc[0], 0)
+ self.assertEquals(dfr["avg_period"].iloc[0], 48595)
+
+class TestSchedLoadAvgCpu(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedLoadAvgCpu creates a proper data_frame"""
+ dfr = trappy.FTrace().sched_load_avg_cpu.data_frame
+
+ self.assertTrue(len(dfr) == 1)
+ self.assertEquals(dfr["cpu"].iloc[0], 0)
+ self.assertEquals(dfr["load"].iloc[0], 13)
+ self.assertEquals(dfr["utilization"].iloc[0], 18)
+
+class TestSchedContribScaleFactor(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedContribScaleFactor creates a proper data_frame"""
+ dfr = trappy.FTrace().sched_contrib_scale_factor.data_frame
+
+ self.assertTrue(len(dfr) == 1)
+ self.assertEquals(dfr["cpu"].iloc[0], 0)
+ self.assertEquals(dfr["freq_scale_factor"].iloc[0], 426)
+ self.assertEquals(dfr["cpu_scale_factor"].iloc[0], 1024)
+
+class TestSchedCpuCapacity(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedCpuCapacity creates a proper data_frame"""
+ dfr = trappy.FTrace().cpu_capacity.data_frame
+
+ self.assertTrue(len(dfr) == 1)
+ self.assertEquals(dfr["cpu"].iloc[0], 3)
+ self.assertEquals(dfr["capacity"].iloc[0], 430)
+ self.assertEquals(dfr["rt_capacity"].iloc[0], 1024)
+
+class TestSchedCpuFrequency(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedCpuFrequency creates a proper data_frame"""
+ dfr = trappy.FTrace().cpu_frequency.data_frame
+
+ self.assertTrue(len(dfr) == 1)
+ self.assertEquals(dfr["cpu"].iloc[0], 0)
+ self.assertEquals(dfr["frequency"].iloc[0], 600000)
+ self.assertFalse("cpu_id" in dfr.columns)
+
+class TestSchedWakeup(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedWakeup creates a proper data_frame"""
+ dfr = trappy.FTrace().sched_wakeup.data_frame
+
+ self.assertTrue(len(dfr) == 2)
+ self.assertEquals(dfr["comm"].iloc[0], "rcu_preempt")
+ self.assertEquals(dfr["pid"].iloc[0], 7)
+ self.assertEquals(dfr["prio"].iloc[0], 120)
+ self.assertEquals(dfr["success"].iloc[0], 1)
+ self.assertEquals(dfr["target_cpu"].iloc[0], 1)
+
+class TestSchedWakeupNew(BaseTestSched):
+
+ def test_get_dataframe(self):
+ """Test that SchedWakeupNew creates a proper data_frame"""
+ dfr = trappy.FTrace().sched_wakeup_new.data_frame
+
+ self.assertTrue(len(dfr) == 2)
+ self.assertEquals(dfr["comm"].iloc[0], "shutils")
+ self.assertEquals(dfr["pid"].iloc[0], 19428)
+ self.assertEquals(dfr["prio"].iloc[0], 120)
+ self.assertEquals(dfr["success"].iloc[0], 1)
+ self.assertEquals(dfr["target_cpu"].iloc[0], 2)
+
+
+class TestGetFilters(BaseTestSched):
+
+ def test_get_filters(self):
+ """Test that FTrace::get_filters returns correct list of filters"""
+
+ trace = trappy.FTrace()
+ classes = trace.class_definitions
+ filters = trace.get_filters()
+ self.assertTrue(len(classes) == len(filters))
+ self.assertTrue(sorted(classes) == sorted(filters))
+
+ sched_classes = trace.sched_classes.copy()
+ sched_filters = trace.get_filters("sched")
+
+ # cpu_capacity and cpu_frequency are in the sched scope but they should
+ # not be captured by get_filters("sched")
+ del sched_classes["cpu_capacity"]
+ del sched_classes["cpu_frequency"]
+
+ self.assertTrue(len(sched_classes) == len(sched_filters))
+ self.assertTrue(sorted(sched_classes) == sorted(sched_filters))
+
+class TestSpacedValueAttributes(BaseTestSched):
+
+ def test_spaced_value_attr(self):
+ """Test that FTrace object parses spaced value attributes correctly"""
+
+ with open("trace.txt", "a") as fout:
+ fout.write(" <...>-2971 [004] 6550.056871: sched_load_avg_task: comm=AsyncTask #2 pid=6163 ")
+
+ dfr = trappy.FTrace().sched_load_avg_task.data_frame
+ self.assertTrue(len(dfr) == 2)
+ self.assertEquals(dfr["comm"].iloc[1], "AsyncTask #2")
+ self.assertEquals(dfr["pid"].iloc[1], 6163)
+
+class TestNoSchedTraces(utils_tests.SetupDirectory):
+
+ def __init__(self, *args, **kwargs):
+ super(TestNoSchedTraces, self).__init__(
+ [("trace_empty.txt", "trace.txt")],
+ *args,
+ **kwargs)
+
+ def test_empty_trace_txt(self):
+ """Test that empty objects are created with empty trace file"""
+
+ trace = trappy.FTrace()
+
+ for attr in trace.sched_classes.iterkeys():
+ self.assertTrue(len(getattr(trace, attr).data_frame) == 0)
diff --git a/tests/test_stats.py b/tests/test_stats.py
new file mode 100644
index 0000000..e15046e
--- /dev/null
+++ b/tests/test_stats.py
@@ -0,0 +1,363 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+import unittest
+from trappy.stats.Topology import Topology
+from trappy.stats.Trigger import Trigger
+from trappy.stats.Aggregator import MultiTriggerAggregator
+
+import collections
+import trappy
+from trappy.base import Base
+import pandas as pd
+from pandas.util.testing import assert_series_equal
+
+
+class TestTopology(unittest.TestCase):
+
+ def test_add_to_level(self):
+ """Test level creation"""
+
+ level_groups = [[1, 2], [0, 3, 4, 5]]
+ level = "test_level"
+ topology = Topology()
+ topology.add_to_level(level, level_groups)
+ check_groups = topology.get_level(level)
+
+ self.assertTrue(topology.has_level(level))
+ self.assertEqual(level_groups, check_groups)
+
+ def test_flatten(self):
+ """Test Topology: flatten"""
+
+ level_groups = [[1, 2], [0, 3, 4, 5]]
+ level = "test_level"
+ topology = Topology()
+ topology.add_to_level(level, level_groups)
+ flattened = [0, 1, 2, 3, 4, 5]
+
+ self.assertEqual(flattened, topology.flatten())
+
+ def test_cpu_topology_construction(self):
+ """Test CPU Topology Construction"""
+
+ cluster_0 = [0, 3, 4, 5]
+ cluster_1 = [1, 2]
+ clusters = [cluster_0, cluster_1]
+ topology = Topology(clusters=clusters)
+
+ # Check cluster level creation
+ cluster_groups = [[0, 3, 4, 5], [1, 2]]
+ self.assertTrue(topology.has_level("cluster"))
+ self.assertEqual(cluster_groups, topology.get_level("cluster"))
+
+ # Check cpu level creation
+ cpu_groups = [[0], [1], [2], [3], [4], [5]]
+ self.assertTrue(topology.has_level("cpu"))
+ self.assertEqual(cpu_groups, topology.get_level("cpu"))
+
+ # Check "all" level
+ all_groups = [[0, 1, 2, 3, 4, 5]]
+ self.assertEqual(all_groups, topology.get_level("all"))
+
+ def test_level_span(self):
+ """TestTopology: level_span"""
+
+ level_groups = [[1, 2], [0, 3, 4, 5]]
+ level = "test_level"
+ topology = Topology()
+ topology.add_to_level(level, level_groups)
+
+ self.assertEqual(topology.level_span(level), 2)
+
+ def test_group_index(self):
+ """TestTopology: get_index"""
+
+ level_groups = [[1, 2], [0, 3, 4, 5]]
+ level = "test_level"
+ topology = Topology()
+ topology.add_to_level(level, level_groups)
+
+ self.assertEqual(topology.get_index(level, [1, 2]), 0)
+ self.assertEqual(topology.get_index(level, [0, 3, 4, 5]), 1)
+
+class BaseTestStats(unittest.TestCase):
+ def setUp(self):
+ trace = trappy.BareTrace()
+ data = {
+
+ "identifier": [
+ 0,
+ 0,
+ 0,
+ 1,
+ 1,
+ 1,
+ ],
+ "result": [
+ "fire",
+ "blank",
+ "fire",
+ "blank",
+ "fire",
+ "blank",
+ ],
+ }
+
+ index = pd.Series([0.1, 0.2, 0.3, 0.4, 0.5, 0.6], name="Time")
+ data_frame = pd.DataFrame(data, index=index)
+ trace.add_parsed_event("aim_and_fire", data_frame)
+ self._trace = trace
+ self.topology = Topology(clusters=[[0], [1]])
+
+
+class TestTrigger(BaseTestStats):
+
+ def test_trigger_generation(self):
+ """TestTrigger: generate"""
+
+ filters = {
+ "result": "fire"
+ }
+
+ event_class = self._trace.aim_and_fire
+ value = 1
+ pivot = "identifier"
+
+ trigger = Trigger(self._trace,
+ event_class,
+ filters,
+ value,
+ pivot)
+
+ expected = pd.Series([1, 1], index=pd.Index([0.1, 0.3], name="Time"))
+ assert_series_equal(expected, trigger.generate(0))
+
+ expected = pd.Series([1], index=pd.Index([0.5], name="Time"))
+ assert_series_equal(expected, trigger.generate(1))
+
+ def test_trigger_with_func(self):
+ """Trigger works with a function or lambda as filter"""
+
+ def my_filter(val):
+ return val.startswith("fi")
+
+ trigger = Trigger(self._trace, self._trace.aim_and_fire,
+ filters={"result": my_filter}, value=1,
+ pivot="identifier")
+
+ expected = pd.Series([1], index=pd.Index([0.5], name="Time"))
+ assert_series_equal(expected, trigger.generate(1))
+
+ my_filters = {"result": lambda x: x.startswith("bl")}
+ trigger = Trigger(self._trace, self._trace.aim_and_fire,
+ filters=my_filters, value=1, pivot="identifier")
+
+ expected = pd.Series([1, 1], index=pd.Index([0.4, 0.6], name="Time"))
+ assert_series_equal(expected, trigger.generate(1))
+
+ def test_trigger_with_callable_class(self):
+ """Trigger works with a callable class as filter"""
+
+ class my_filter(object):
+ def __init__(self, val_out):
+ self.prev_val = 0
+ self.val_out = val_out
+
+ def __call__(self, val):
+ ret = self.prev_val == self.val_out
+ self.prev_val = val
+
+ return ret
+
+ trigger = Trigger(self._trace, self._trace.aim_and_fire,
+ filters={"identifier": my_filter(1)}, value=1,
+ pivot="result")
+
+ expected = pd.Series([1], index=pd.Index([0.6], name="Time"))
+ assert_series_equal(expected, trigger.generate("blank"))
+
+ def test_filter_prev_values(self):
+ """Trigger works with a filter that depends on previous values of the same pivot"""
+
+ # We generate an example in which we want a trigger whenever the
+ # identifier is no longer 1 for blank
+
+ class my_filter(object):
+ def __init__(self, val_out):
+ self.prev_val = 0
+ self.val_out = val_out
+
+ def __call__(self, val):
+ ret = self.prev_val == self.val_out
+ self.prev_val = val
+
+ return ret
+
+ trace = trappy.BareTrace()
+ data = collections.OrderedDict([
+ (0.1, ["blank", 1]),
+ (0.2, ["fire", 1]),
+ (0.3, ["blank", 0]), # value is no longer 1, trigger
+ (0.4, ["blank", 1]),
+ (0.5, ["fire", 0]), # This should NOT trigger
+ (0.6, ["blank", 0]), # value is no longer 1 for blank, trigger
+ ])
+ data_frame = pd.DataFrame.from_dict(data, orient="index", )
+ data_frame.columns = ["result", "identifier"]
+ trace.add_parsed_event("aim_and_fire", data_frame)
+
+ trigger = Trigger(trace, trace.aim_and_fire,
+ filters={"identifier": my_filter(1)}, value=-1,
+ pivot="result")
+
+ expected = pd.Series([-1, -1], index=[0.3, 0.6])
+ assert_series_equal(expected, trigger.generate("blank"))
+
+
+
+class TestAggregator(BaseTestStats):
+
+ def test_scalar_aggfunc_single_trigger(self):
+ """TestAggregator: 1 trigger scalar aggfunc"""
+
+ def aggfunc(series):
+ return series.sum()
+
+ filters = {
+ "result": "fire"
+ }
+
+ event_class = self._trace.aim_and_fire
+ value = 1
+ pivot = "identifier"
+
+ trigger = Trigger(self._trace,
+ event_class,
+ filters,
+ value,
+ pivot)
+
+ aggregator = MultiTriggerAggregator([trigger],
+ self.topology,
+ aggfunc=aggfunc)
+
+ # There are three "fire" in total
+ # The all level in topology looks like
+ # [[0, 1]]
+ result = aggregator.aggregate(level="all")
+ self.assertEqual(result, [3.0])
+
+ # There are two "fire" on the first node group and a
+ # a single "fire" on the second node group at the cluster
+ # level which looks like
+ # [[0], [1]]
+ result = aggregator.aggregate(level="cluster")
+ self.assertEqual(result, [2.0, 1.0])
+
+ def test_vector_aggfunc_single_trigger(self):
+ """TestAggregator: 1 trigger vector aggfunc"""
+
+ def aggfunc(series):
+ return series.cumsum()
+
+ filters = {
+ "result": "fire"
+ }
+
+ event_class = self._trace.aim_and_fire
+ value = 1
+ pivot = "identifier"
+
+ trigger = Trigger(self._trace, event_class, filters, value, pivot)
+
+ aggregator = MultiTriggerAggregator([trigger],
+ self.topology,
+ aggfunc=aggfunc)
+
+ # There are three "fire" in total
+ # The all level in topology looks like
+ # [[0, 1]]
+ result = aggregator.aggregate(level="all")
+ expected_result = pd.Series([1.0, 1.0, 2.0, 2.0, 3.0, 3.0],
+ index=pd.Index([0.1, 0.2, 0.3, 0.4, 0.5, 0.6])
+ )
+ assert_series_equal(result[0], expected_result)
+
+ def test_vector_aggfunc_multiple_trigger(self):
+ """TestAggregator: multi trigger vector aggfunc"""
+
+ def aggfunc(series):
+ return series.cumsum()
+
+ filters = {
+ "result": "fire"
+ }
+
+ event_class = self._trace.aim_and_fire
+ value = 1
+ pivot = "identifier"
+
+ trigger_fire = Trigger(self._trace,
+ event_class,
+ filters,
+ value,
+ pivot)
+
+ filters = {
+ "result": "blank"
+ }
+ value = -1
+ trigger_blank = Trigger(self._trace, event_class, filters, value,
+ pivot)
+
+ aggregator = MultiTriggerAggregator([trigger_fire, trigger_blank],
+ self.topology,
+ aggfunc=aggfunc)
+
+ # There are three "fire" in total
+ # The all level in topology looks like
+ # [[0, 1]]
+ result = aggregator.aggregate(level="all")
+ expected_result = pd.Series([1.0, 0.0, 1.0, 0.0, 1.0, 0.0],
+ index=pd.Index([0.1, 0.2, 0.3, 0.4, 0.5, 0.6])
+ )
+ assert_series_equal(result[0], expected_result)
+
+ def test_default_aggfunc_multiple_trigger(self):
+ """MultiTriggerAggregator with the default aggfunc"""
+
+ trigger_fire = Trigger(self._trace, self._trace.aim_and_fire,
+ filters={"result": "fire"},
+ pivot="identifier", value=1)
+
+ trigger_blank = Trigger(self._trace, self._trace.aim_and_fire,
+ filters={"result": "blank"},
+ pivot="identifier", value=2)
+
+ aggregator = MultiTriggerAggregator([trigger_fire, trigger_blank],
+ self.topology)
+
+ results = aggregator.aggregate(level="cpu")
+ expected_results = [
+ pd.Series([1., 2., 1., 0., 0., 0.],
+ index=[0.1, 0.2, 0.3, 0.4, 0.5, 0.6]),
+ pd.Series([0., 0., 0., 2., 1., 2.],
+ index=[0.1, 0.2, 0.3, 0.4, 0.5, 0.6]),
+ ]
+
+ self.assertEquals(len(results), len(expected_results))
+ for result, expected in zip(results, expected_results):
+ assert_series_equal(result, expected)
diff --git a/tests/test_stats_grammar.py b/tests/test_stats_grammar.py
new file mode 100644
index 0000000..5138efc
--- /dev/null
+++ b/tests/test_stats_grammar.py
@@ -0,0 +1,256 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+from test_thermal import BaseTestThermal
+import trappy
+from trappy.stats.grammar import Parser
+from pandas.util.testing import assert_series_equal
+import numpy as np
+import pandas
+from distutils.version import LooseVersion as V
+import unittest
+
+
+class TestStatsGrammar(BaseTestThermal):
+
+ def __init__(self, *args, **kwargs):
+ super(TestStatsGrammar, self).__init__(*args, **kwargs)
+
+ def test_sum_operator(self):
+ """Test Addition And Subtraction: Numeric"""
+
+ parser = Parser(trappy.BareTrace())
+ # Simple equation
+ eqn = "10 + 2 - 3"
+ self.assertEquals(parser.solve(eqn), 9)
+ # Equation with bracket and unary ops
+ eqn = "(10 + 2) - (-3 + 2)"
+ self.assertEquals(parser.solve(eqn), 13)
+
+ @unittest.skipIf(V(pandas.__version__) < V('0.16.1'),
+ "check_names is not supported in pandas < 0.16.1")
+ def test_accessors_sum(self):
+ """Test Addition And Subtraction: Data"""
+
+ thermal_zone_id = 0
+ parser = Parser(trappy.FTrace())
+ # Equation with dataframe accessors
+ eqn = "trappy.thermal.Thermal:temp + \
+trappy.thermal.Thermal:temp"
+
+ assert_series_equal(
+ parser.solve(eqn)[thermal_zone_id],
+ 2 *
+ parser.data.thermal.data_frame["temp"], check_names=False)
+
+ def test_funcparams_sum(self):
+ """Test Addition And Subtraction: Functions"""
+
+ thermal_zone_id = 0
+ parser = Parser(trappy.FTrace())
+ # Equation with functions as parameters (Mixed)
+ eqn = "numpy.mean(trappy.thermal.Thermal:temp) + 1000"
+ self.assertEquals(
+ parser.solve(eqn)[thermal_zone_id],
+ np.mean(
+ parser.data.thermal.data_frame["temp"]) +
+ 1000)
+ # Multiple func params
+ eqn = "numpy.mean(trappy.thermal.Thermal:temp) + numpy.mean(trappy.thermal.Thermal:temp)"
+ self.assertEquals(
+ parser.solve(eqn)[thermal_zone_id],
+ np.mean(
+ parser.data.thermal.data_frame["temp"]) *
+ 2)
+
+ def test_parser_with_name(self):
+ """Test equation using event name"""
+
+ thermal_zone_id = 0
+ parser = Parser(trappy.FTrace())
+ # Equation with functions as parameters (Mixed)
+ eqn = "numpy.mean(thermal:temp) + 1000"
+ self.assertEquals(
+ parser.solve(eqn)[thermal_zone_id],
+ np.mean(
+ parser.data.thermal.data_frame["temp"]) + 1000)
+
+ def test_bool_ops_vector(self):
+ """Test Logical Operations: Vector"""
+
+ thermal_zone_id = 0
+ # The equation returns a vector mask
+ parser = Parser(trappy.FTrace())
+ eqn = "(trappy.thermal.ThermalGovernor:current_temperature > 77000)\
+ & (trappy.pid_controller.PIDController:output > 2500)"
+ mask = parser.solve(eqn)
+ self.assertEquals(len(parser.ref(mask.dropna()[0])), 0)
+
+ def test_bool_ops_scalar(self):
+ """Test Logical Operations: Vector"""
+
+ thermal_zone_id=0
+ parser = Parser(trappy.FTrace())
+ # The equation returns a boolean scalar
+ eqn = "(numpy.mean(trappy.thermal.Thermal:temp) > 65000) && (numpy.mean(trappy.cpu_power.CpuOutPower) > 500)"
+ self.assertTrue(parser.solve(eqn)[thermal_zone_id])
+ eqn = "(numpy.mean(trappy.thermal.Thermal:temp) > 65000) || (numpy.mean(trappy.cpu_power.CpuOutPower) < 500)"
+ self.assertTrue(parser.solve(eqn)[thermal_zone_id])
+
+ def test_super_indexing(self):
+ "Test if super-indexing works correctly"""
+
+ trace = trappy.FTrace()
+ parser = Parser(trace)
+ # The first event has less index values
+ sol1 = parser.solve("trappy.thermal.Thermal:temp")
+ # The second index has more index values
+ sol2 = parser.solve("trappy.pid_controller.PIDController:output")
+ # Super Indexing should result in len(sol2) > len(sol1)
+ self.assertGreater(len(sol2), len(sol1))
+
+ def test_single_func_call(self):
+ """Test Single Function Call"""
+
+ thermal_zone_id = 0
+ parser = Parser(trappy.FTrace())
+ eqn = "numpy.mean(trappy.thermal.Thermal:temp)"
+ self.assertEquals(
+ parser.solve(eqn)[thermal_zone_id],
+ np.mean(
+ parser.data.thermal.data_frame["temp"]))
+
+ def test_mul_ops(self):
+ """Test Mult and Division: Numeric"""
+
+ parser = Parser(trappy.BareTrace())
+ eqn = "(10 * 2 / 10)"
+ self.assertEquals(parser.solve(eqn), 2)
+ eqn = "-2 * 2 + 2 * 10 / 10"
+ self.assertEquals(parser.solve(eqn), -2)
+ eqn = "3.5 // 2"
+ self.assertEquals(parser.solve(eqn), 1)
+ eqn = "5 % 2"
+ self.assertEquals(parser.solve(eqn), 1)
+
+ def test_exp_ops(self):
+ """Test exponentiation: Numeric"""
+ parser = Parser(trappy.BareTrace())
+ eqn = "3**3 * 2**4"
+ self.assertEquals(parser.solve(eqn), 432)
+ eqn = "3**(4/2)"
+ self.assertEquals(parser.solve(eqn), 9)
+
+ @unittest.skipIf(V(pandas.__version__) < V('0.16.1'),
+ "check_names is not supported in pandas < 0.16.1")
+ def test_funcparams_mul(self):
+ """Test Mult and Division: Data"""
+
+ thermal_zone_id = 0
+ parser = Parser(trappy.FTrace())
+ eqn = "trappy.thermal.Thermal:temp * 10.0"
+ series = parser.data.thermal.data_frame["temp"]
+ assert_series_equal(parser.solve(eqn)[thermal_zone_id], series * 10.0, check_names=False)
+ eqn = "trappy.thermal.Thermal:temp / trappy.thermal.Thermal:temp * 10"
+ assert_series_equal(parser.solve(eqn)[thermal_zone_id], series / series * 10, check_names=False)
+
+ def test_var_forward(self):
+ """Test Forwarding: Variable"""
+
+ thermal_zone_id = 0
+ pvars = {}
+ pvars["control_temp"] = 78000
+ parser = Parser(trappy.FTrace(), pvars=pvars)
+ eqn = "numpy.mean(trappy.thermal.Thermal:temp) < control_temp"
+ self.assertTrue(parser.solve(eqn)[thermal_zone_id])
+
+ def test_func_forward(self):
+ """Test Forwarding: Mixed"""
+
+ thermal_zone_id = 0
+ pvars = {}
+ pvars["mean"] = np.mean
+ pvars["control_temp"] = 78000
+ parser = Parser(trappy.FTrace(), pvars=pvars)
+ eqn = "mean(trappy.thermal.Thermal:temp) < control_temp"
+ self.assertTrue(parser.solve(eqn)[thermal_zone_id])
+
+ def test_cls_forward(self):
+ """Test Forwarding: Classes"""
+
+ cls = trappy.thermal.Thermal
+ pvars = {}
+ pvars["mean"] = np.mean
+ pvars["control_temp"] = 78000
+ pvars["therm"] = cls
+
+ thermal_zone_id = 0
+ parser = Parser(trappy.FTrace(), pvars=pvars)
+ eqn = "mean(therm:temp) < control_temp"
+ self.assertTrue(parser.solve(eqn)[thermal_zone_id])
+
+ def test_for_parsed_event(self):
+ """Test if an added parsed event can be accessed"""
+
+ trace = trappy.FTrace(scope="custom")
+ dfr = pandas.DataFrame({"l1_misses": [24, 535, 41],
+ "l2_misses": [155, 11, 200],
+ "cpu": [ 0, 1, 0]},
+ index=pandas.Series([1.020, 1.342, 1.451], name="Time"))
+ trace.add_parsed_event("pmu_counters", dfr)
+
+ p = Parser(trace)
+ self.assertTrue(len(p.solve("pmu_counters:cpu")), 3)
+
+ def test_windowed_parse(self):
+ """Test that the parser can operate on a window of the trace"""
+ trace = trappy.FTrace()
+
+ prs = Parser(trace, window=(2, 3))
+ dfr_res = prs.solve("thermal:temp")
+
+ self.assertGreater(dfr_res.index[0], 2)
+ self.assertLess(dfr_res.index[-1], 3)
+
+ prs = Parser(trace, window=(4, None))
+ dfr_res = prs.solve("thermal:temp")
+
+ self.assertGreater(dfr_res.index[0], 4)
+ self.assertEquals(dfr_res.index[-1], trace.thermal.data_frame.index[-1])
+
+ prs = Parser(trace, window=(0, 1))
+ dfr_res = prs.solve("thermal:temp")
+
+ self.assertEquals(dfr_res.index[0], trace.thermal.data_frame.index[0])
+ self.assertLess(dfr_res.index[-1], 1)
+
+ def test_filtered_parse(self):
+ """The Parser can filter a trace"""
+ trace = trappy.FTrace()
+
+ prs = Parser(trace, filters={"cdev_state": 3})
+ dfr_res = prs.solve("devfreq_out_power:freq")
+ self.assertEquals(len(dfr_res), 1)
+
+ def test_no_events(self):
+ """Test trying to parse absent data"""
+ trace = trappy.FTrace()
+ prs = Parser(trace)
+
+ # cpu_frequency is an event we know how to parse, but it isn't present
+ # in the test trace.
+ self.assertRaisesRegexp(ValueError, "No events found for cpu_frequency",
+ prs.solve, "cpu_frequency:frequency")
diff --git a/tests/test_systrace.py b/tests/test_systrace.py
new file mode 100644
index 0000000..608ed8e
--- /dev/null
+++ b/tests/test_systrace.py
@@ -0,0 +1,87 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+import utils_tests
+
+import trappy
+
+class TestSystrace(utils_tests.SetupDirectory):
+
+ def __init__(self, *args, **kwargs):
+ super(TestSystrace, self).__init__(
+ [("trace_systrace.html", "trace.html")],
+ *args,
+ **kwargs)
+
+ def test_systrace_html(self):
+ """Tests parsing of a systrace embedded textual trace """
+
+ events = ["sched_switch", "sched_wakeup", "trace_event_clock_sync"]
+ trace = trappy.SysTrace("trace.html", events=events)
+
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertEquals(len(trace.sched_switch.data_frame), 4)
+ self.assertTrue("prev_comm" in trace.sched_switch.data_frame.columns)
+
+ self.assertTrue(hasattr(trace, "sched_wakeup"))
+ self.assertEquals(len(trace.sched_wakeup.data_frame), 4)
+ self.assertTrue("target_cpu" in trace.sched_wakeup.data_frame.columns)
+
+ self.assertTrue(hasattr(trace, "trace_event_clock_sync"))
+ self.assertEquals(len(trace.trace_event_clock_sync.data_frame), 1)
+ self.assertTrue("realtime_ts" in trace.trace_event_clock_sync.data_frame.columns)
+
+ def test_cpu_counting(self):
+ """SysTrace traces know the number of cpus"""
+
+ trace = trappy.SysTrace("trace.html")
+
+ self.assertTrue(hasattr(trace, "_cpus"))
+ self.assertEquals(trace._cpus, 3)
+
+
+class TestLegacySystrace(utils_tests.SetupDirectory):
+
+ def __init__(self, *args, **kwargs):
+ super(TestLegacySystrace, self).__init__(
+ [("trace_legacy_systrace.html", "trace.html")],
+ *args,
+ **kwargs)
+
+ def test_systrace_html(self):
+ """Tests parsing of a legacy systrace embedded textual trace """
+
+ events = ["sched_switch", "sched_wakeup", "sched_contrib_scale_f"]
+ trace = trappy.SysTrace("trace.html", events=events)
+
+ self.assertTrue(hasattr(trace, "sched_switch"))
+ self.assertEquals(len(trace.sched_switch.data_frame), 3)
+ self.assertTrue("prev_comm" in trace.sched_switch.data_frame.columns)
+
+ self.assertTrue(hasattr(trace, "sched_wakeup"))
+ self.assertEquals(len(trace.sched_wakeup.data_frame), 2)
+ self.assertTrue("target_cpu" in trace.sched_wakeup.data_frame.columns)
+
+ self.assertTrue(hasattr(trace, "sched_contrib_scale_f"))
+ self.assertEquals(len(trace.sched_contrib_scale_f.data_frame), 2)
+ self.assertTrue("freq_scale_factor" in trace.sched_contrib_scale_f.data_frame.columns)
+
+ def test_cpu_counting(self):
+ """In a legacy SysTrace trace, trappy gets the number of cpus"""
+
+ trace = trappy.SysTrace("trace.html")
+
+ self.assertTrue(hasattr(trace, "_cpus"))
+ self.assertEquals(trace._cpus, 8)
diff --git a/tests/test_thermal.py b/tests/test_thermal.py
new file mode 100644
index 0000000..d564639
--- /dev/null
+++ b/tests/test_thermal.py
@@ -0,0 +1,181 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+import matplotlib
+import os
+import pandas as pd
+import shutil
+import sys
+import tempfile
+
+import utils_tests
+import trappy
+
+sys.path.append(os.path.join(utils_tests.TESTS_DIRECTORY, "..", "trappy"))
+
+class BaseTestThermal(utils_tests.SetupDirectory):
+ def __init__(self, *args, **kwargs):
+ super(BaseTestThermal, self).__init__(
+ [("../doc/trace.txt", "trace.txt")],
+ *args,
+ **kwargs)
+
+class TestThermal(BaseTestThermal):
+
+ def test_plot_temperature(self):
+ """Test ThermalGovernor.plot_temperature()
+
+ Can't check that the graph is ok, so just see that the method
+ doesn't blow up
+
+ """
+
+ th_data = trappy.FTrace().thermal
+ dfr = th_data.data_frame
+ ct_series = pd.Series([57, 57], index=(dfr.index[0], dfr.index[-1]))
+
+ th_data.plot_temperature()
+ matplotlib.pyplot.close('all')
+
+ th_data.plot_temperature(title="Antutu", control_temperature=ct_series)
+ matplotlib.pyplot.close('all')
+
+ th_data.plot_temperature(title="Antutu", ylim=[0, 60])
+ matplotlib.pyplot.close('all')
+
+ _, ax = matplotlib.pyplot.subplots()
+ th_data.plot_temperature(ax=ax)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_temperature_hist(self):
+ """Test that plot_temperature_hist() doesn't bomb"""
+
+ _, ax = matplotlib.pyplot.subplots()
+ trappy.FTrace().thermal.plot_temperature_hist(ax, "Foo")
+ matplotlib.pyplot.close('all')
+
+class TestThermalGovernor(BaseTestThermal):
+ def __init__(self, *args, **kwargs):
+ super(TestThermalGovernor, self).__init__(*args, **kwargs)
+ self.actor_order = ["GPU", "A15", "A7"]
+
+ def test_get_dataframe(self):
+ dfr = trappy.FTrace().thermal_governor.data_frame
+
+ self.assertTrue(len(dfr) > 0)
+ self.assertEquals(dfr["current_temperature"].iloc[0], 68775)
+ self.assertTrue("total_granted_power" in dfr.columns)
+ self.assertFalse("time" in dfr.columns)
+
+ def test_plot_temperature(self):
+ """Test ThermalGovernor.plot_temperature()
+
+ Can't check that the graph is ok, so just see that the method doesn't blow up"""
+ gov = trappy.FTrace().thermal_governor
+
+ gov.plot_temperature()
+ gov.plot_temperature(legend_label="power allocator", ylim=(0, 72))
+ matplotlib.pyplot.close('all')
+
+ def test_plot_input_power(self):
+ """plot_input_power() doesn't bomb"""
+ gov = trappy.FTrace().thermal_governor
+
+ gov.plot_input_power(self.actor_order)
+ matplotlib.pyplot.close('all')
+
+ gov.plot_input_power(self.actor_order, title="Antutu")
+ matplotlib.pyplot.close('all')
+
+ _, ax = matplotlib.pyplot.subplots()
+ gov.plot_input_power(self.actor_order, ax=ax)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_weighted_input_power(self):
+ """plot_weighted_input_power() doesn't bomb"""
+
+ gov = trappy.FTrace().thermal_governor
+ weights = zip(self.actor_order, [1024, 256, 512])
+
+ _, ax = matplotlib.pyplot.subplots()
+ gov.plot_weighted_input_power(weights, ax=ax)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_output_power(self):
+ """Test plot_output_power()
+
+ Can't check that the graph is ok, so just see that the method doesn't blow up"""
+ gov = trappy.FTrace().thermal_governor
+
+ gov.plot_output_power(self.actor_order)
+ matplotlib.pyplot.close('all')
+
+ gov.plot_output_power(self.actor_order, title="Antutu")
+ matplotlib.pyplot.close('all')
+
+ _, ax = matplotlib.pyplot.subplots()
+ gov.plot_output_power(self.actor_order, title="Antutu", ax=ax)
+ matplotlib.pyplot.close('all')
+
+ def test_plot_inout_power(self):
+ """Test plot_inout_power()
+
+ Can't check that the graph is ok, so just see that the method doesn't blow up"""
+ trappy.FTrace().thermal_governor.plot_inout_power()
+ trappy.FTrace().thermal_governor.plot_inout_power(title="Antutu")
+ matplotlib.pyplot.close('all')
+
+class TestEmptyThermalGovernor(unittest.TestCase):
+ def setUp(self):
+ self.previous_dir = os.getcwd()
+ self.out_dir = tempfile.mkdtemp()
+ os.chdir(self.out_dir)
+ with open("trace.txt", "w") as fout:
+ fout.write("""version = 6
+cpus=8
+CPU:7 [204600 EVENTS DROPPED]
+ <...>-3979 [007] 217.975284: sched_stat_runtime: comm=Thread-103 pid=3979 runtime=5014167 [ns] vruntime=244334517704 [ns]
+ <...>-3979 [007] 217.975298: sched_task_load_contrib: comm=Thread-103 pid=3979 load_contrib=2500
+ <...>-3979 [007] 217.975314: sched_task_runnable_ratio: comm=Thread-103 pid=3979 ratio=1023
+ <...>-3979 [007] 217.975332: sched_rq_runnable_ratio: cpu=7 ratio=1023
+ <...>-3979 [007] 217.975345: sched_rq_runnable_load: cpu=7 load=127
+ <...>-3979 [007] 217.975366: softirq_raise: vec=7 [action=SCHED]
+ <...>-3979 [007] 217.975446: irq_handler_exit: irq=163 ret=handled
+ <...>-3979 [007] 217.975502: softirq_entry: vec=1 [action=TIMER]
+ <...>-3979 [007] 217.975523: softirq_exit: vec=1 [action=TIMER]
+ <...>-3979 [007] 217.975535: softirq_entry: vec=7 [action=SCHED]
+ <...>-3979 [007] 217.975559: sched_rq_runnable_ratio: cpu=7 ratio=1023
+ <...>-3979 [007] 217.975571: sched_rq_runnable_load: cpu=7 load=127
+ <...>-3979 [007] 217.975584: softirq_exit: vec=7 [action=SCHED]
+ <...>-3979 [007] 217.980139: irq_handler_entry: irq=163 name=mct_tick7
+ <...>-3979 [007] 217.980216: softirq_raise: vec=1 [action=TIMER]
+ <...>-3979 [007] 217.980253: sched_stat_runtime: comm=Thread-103 pid=3979 runtime=4990542 [ns] vruntime=244336561007 [ns]
+ <...>-3979 [007] 217.980268: sched_task_load_contrib: comm=Thread-103 pid=3979 load_contrib=2500""")
+
+ def tearDown(self):
+ os.chdir(self.previous_dir)
+ shutil.rmtree(self.out_dir)
+
+ def test_empty_trace_txt(self):
+ dfr = trappy.FTrace(normalize_time=False).thermal_governor.data_frame
+ self.assertEquals(len(dfr), 0)
+
+ def test_empty_plot_temperature(self):
+ """trace.thermal.plot_temperature() raises ValueError() on an empty
+ thermal trace"""
+ trace = trappy.FTrace()
+ self.assertRaises(ValueError, trace.thermal.plot_temperature)
diff --git a/tests/test_trappy.py b/tests/test_trappy.py
new file mode 100644
index 0000000..9204c07
--- /dev/null
+++ b/tests/test_trappy.py
@@ -0,0 +1,135 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import os
+import re
+import matplotlib, tempfile
+
+import trappy
+from test_thermal import BaseTestThermal
+
+class TestTrappy(BaseTestThermal):
+ def __init__(self, *args, **kwargs):
+ super(TestTrappy, self).__init__(*args, **kwargs)
+ self.map_label = {"00000000,00000039": "A53", "00000000,00000006": "A57"}
+ self.actor_order = ["GPU", "A57", "A53"]
+
+ def test_summary_plots(self):
+ """Test summary_plots()
+
+ Can't check that the graphs are ok, so just see that the method doesn't blow up"""
+
+ trappy.summary_plots(self.actor_order, self.map_label)
+ matplotlib.pyplot.close('all')
+
+ trappy.summary_plots(self.actor_order, self.map_label, width=14,
+ title="Foo")
+ matplotlib.pyplot.close('all')
+
+ def test_summary_plots_bad_parameters(self):
+ """When summary_plots() receives bad parameters, it offers an understandable error"""
+
+ self.assertRaises(TypeError, trappy.summary_plots,
+ (self.map_label, self.actor_order))
+
+ try:
+ trappy.summary_plots(self.map_label, self.actor_order)
+ self.fail()
+ except TypeError as exception:
+ pass
+
+ self.assertTrue("actor_order" in str(exception))
+
+ try:
+ trappy.summary_plots(self.actor_order, self.actor_order)
+ self.fail()
+ except TypeError as exception:
+ pass
+
+ self.assertTrue("map_label" in str(exception))
+
+ def test_summary_other_dir(self):
+ """Test summary_plots() with another directory"""
+
+ other_random_dir = tempfile.mkdtemp()
+ os.chdir(other_random_dir)
+
+ trappy.summary_plots(self.actor_order, self.map_label, path=self.out_dir)
+ matplotlib.pyplot.close('all')
+
+ # Sanity check that the test actually ran from another directory
+ self.assertEquals(os.getcwd(), other_random_dir)
+
+ def test_summary_plots_only_power_allocator_trace(self):
+ """Test that summary_plots() work if there is only power allocator
+ trace"""
+
+ # Strip out "thermal_temperature" from the trace
+ trace_out = ""
+ with open("trace.txt") as fin:
+ for line in fin:
+ if not re.search("thermal_temperature:", line):
+ trace_out += line
+
+ with open("trace.txt", "w") as fout:
+ fout.write(trace_out)
+
+ trappy.summary_plots(self.actor_order, self.map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_summary_plots_no_gpu(self):
+ """summary_plots() works if there is no GPU trace"""
+
+ # Strip out devfreq traces
+ trace_out = ""
+ with open("trace.txt") as fin:
+ for line in fin:
+ if ("thermal_power_devfreq_get_power:" not in line) and \
+ ("thermal_power_devfreq_limit:" not in line):
+ trace_out += line
+
+ with open("trace.txt", "w") as fout:
+ fout.write(trace_out)
+
+ trappy.summary_plots(self.actor_order, self.map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_summary_plots_one_actor(self):
+ """summary_plots() works if there is only one actor"""
+
+ # Strip out devfreq and little traces
+ trace_out = ""
+ with open("trace.txt") as fin:
+ for line in fin:
+ if ("thermal_power_devfreq_get_power:" not in line) and \
+ ("thermal_power_devfreq_limit:" not in line) and \
+ ("thermal_power_cpu_get_power: cpus=00000000,00000039" not in line) and \
+ ("thermal_power_cpu_limit: cpus=00000000,00000039" not in line):
+ trace_out += line
+
+ with open("trace.txt", "w") as fout:
+ fout.write(trace_out)
+
+ map_label = {"00000000,00000006": "A57"}
+ trappy.summary_plots(self.actor_order, map_label)
+ matplotlib.pyplot.close('all')
+
+ def test_compare_runs(self):
+ """Basic compare_runs() functionality"""
+
+ trappy.compare_runs(self.actor_order, self.map_label,
+ runs=[("new", "."), ("old", self.out_dir)])
+ matplotlib.pyplot.close('all')
diff --git a/tests/test_utils.py b/tests/test_utils.py
new file mode 100644
index 0000000..bf53091
--- /dev/null
+++ b/tests/test_utils.py
@@ -0,0 +1,59 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+from trappy import utils
+import pandas
+from pandas.util.testing import assert_series_equal
+
+
+class TestUtils(unittest.TestCase):
+
+ def test_handle_duplicate_index(self):
+ """Test Util Function: handle_duplicate_index
+ """
+
+ # Refer to the example in the function doc string
+ values = [0, 1, 2, 3, 4]
+ index = [0.0, 1.0, 1.0, 6.0, 7.0]
+ series = pandas.Series(values, index=index)
+ new_index = [0.0, 1.0, 2.0, 3.0, 4.0, 6.0, 7.0]
+
+ with self.assertRaises(ValueError):
+ series.reindex(new_index)
+
+ max_delta = 0.001
+ expected_index = [0.0, 1.0, 1 + max_delta, 6.0, 7.0]
+ expected_series = pandas.Series(values, index=expected_index)
+ series = utils.handle_duplicate_index(series, max_delta)
+ assert_series_equal(series, expected_series)
+
+ # Make sure that the reindex doesn't raise ValueError any more
+ series.reindex(new_index)
+
+ def test_handle_duplicate_index_duplicate_end(self):
+ """handle_duplicate_index copes with duplicates at the end of the series"""
+
+ max_delta = 0.001
+ values = [0, 1, 2, 3, 4]
+ index = [0.0, 1.0, 2.0, 6.0, 6.0]
+ expected_index = index[:]
+ expected_index[-1] += max_delta
+ series = pandas.Series(values, index=index)
+ expected_series = pandas.Series(values, index=expected_index)
+
+ series = utils.handle_duplicate_index(series, max_delta)
+ assert_series_equal(series, expected_series)
diff --git a/tests/test_wa_sysfs_extractor.py b/tests/test_wa_sysfs_extractor.py
new file mode 100644
index 0000000..25ef8c7
--- /dev/null
+++ b/tests/test_wa_sysfs_extractor.py
@@ -0,0 +1,61 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import os
+import subprocess
+import unittest
+
+import utils_tests
+
+import trappy.wa
+
+class TestWASysfsExtractor(utils_tests.SetupDirectory):
+ """Test the WA specific interface to get parameters from a sysfs extractor"""
+ def __init__(self, *args, **kwargs):
+ self.wa_sysfs_fname = "WA_sysfs_extract.tar.xz"
+ super(TestWASysfsExtractor, self).__init__(
+ [(self.wa_sysfs_fname, self.wa_sysfs_fname)],
+ *args, **kwargs)
+
+ def setUp(self):
+ super(TestWASysfsExtractor, self).setUp()
+ subprocess.check_call(["tar", "xf", self.wa_sysfs_fname])
+
+ def test_get_parameters(self):
+ """Test that we can get the parameters of a sysfs extractor output"""
+
+ os.chdir("..")
+ thermal_params = trappy.wa.SysfsExtractor(self.out_dir).get_parameters()
+ self.assertEquals(thermal_params["cdev0_weight"], 1024)
+ self.assertEquals(thermal_params["cdev1_weight"], 768)
+ self.assertEquals(thermal_params["trip_point_0_temp"], 72000)
+ self.assertEquals(thermal_params["policy"], "power_allocator")
+
+ def test_print_thermal_params(self):
+ """Test that printing the thermal params doesn't bomb"""
+
+ trappy.wa.SysfsExtractor(".").pretty_print_in_ipython()
+
+class TestWASysfsExtractorFailMode(unittest.TestCase):
+ """Test the failure modes of the Workload Automation sysfs extractor"""
+
+ def test_get_params_invalid_directory(self):
+ """An invalid directory for trappy.wa.SysfsExtractor doesn't bomb"""
+
+ sysfs_extractor = trappy.wa.SysfsExtractor(".")
+ self.assertEquals(sysfs_extractor.get_parameters(), {})
+
+ sysfs_extractor.pretty_print_in_ipython()
diff --git a/tests/trace.dat b/tests/trace.dat
new file mode 100644
index 0000000..c54b5e2
--- /dev/null
+++ b/tests/trace.dat
Binary files differ
diff --git a/tests/trace_empty.txt b/tests/trace_empty.txt
new file mode 100644
index 0000000..b35ba6f
--- /dev/null
+++ b/tests/trace_empty.txt
@@ -0,0 +1,85 @@
+version = 6
+cpus=5
+ trace-cmd-2461 [000] 34.896920: sched_stat_runtime: comm=trace-cmd pid=2461 runtime=7778999 [ns] vruntime=6087430069 [ns]
+ trace-cmd-2461 [000] 34.896941: sched_stat_sleep: comm=sshd pid=2450 delay=1802051076 [ns]
+ trace-cmd-2461 [000] 34.896972: sched_wakeup: sshd:2450 [120] success=1 CPU:000
+ trace-cmd-2461 [000] 34.897022: sched_stat_wait: comm=sshd pid=2450 delay=0 [ns]
+ trace-cmd-2461 [000] 34.897053: sched_switch: trace-cmd:2461 [120] R ==> sshd:2450 [120]
+ <idle>-0 [001] 34.897147: sched_stat_wait: comm=rcuos/0 pid=9 delay=0 [ns]
+ <idle>-0 [001] 34.897153: sched_switch: swapper/1:0 [120] R ==> rcuos/0:9 [120]
+ rcuos/0-9 [001] 34.897169: sched_stat_runtime: comm=sshd pid=2450 runtime=258292 [ns] vruntime=6078688361 [ns]
+ rcuos/0-9 [001] 34.897175: sched_stat_sleep: comm=rcu_sched pid=7 delay=26617125 [ns]
+ rcuos/0-9 [001] 34.897182: sched_wakeup: rcu_sched:7 [120] success=1 CPU:000
+ rcuos/0-9 [001] 34.897186: sched_stat_runtime: comm=rcuos/0 pid=9 runtime=445750 [ns] vruntime=6730976621 [ns]
+ rcuos/0-9 [001] 34.897230: sched_switch: rcuos/0:9 [120] S ==> swapper/1:0 [120]
+ sshd-2450 [000] 34.897303: sched_stat_runtime: comm=sshd pid=2450 runtime=134042 [ns] vruntime=6078822403 [ns]
+ sshd-2450 [000] 34.897313: sched_stat_wait: comm=rcu_sched pid=7 delay=134042 [ns]
+ sshd-2450 [000] 34.897319: sched_switch: sshd:2450 [120] S ==> rcu_sched:7 [120]
+ rcu_sched-7 [000] 34.897329: sched_stat_runtime: comm=rcu_sched pid=7 runtime=25916 [ns] vruntime=6078455985 [ns]
+ rcu_sched-7 [000] 34.897338: sched_stat_wait: comm=trace-cmd pid=2461 delay=418250 [ns]
+ rcu_sched-7 [000] 34.897343: sched_switch: rcu_sched:7 [120] S ==> trace-cmd:2461 [120]
+ trace-cmd-2461 [000] 34.897641: sched_process_exit: comm=trace-cmd pid=2461 prio=120
+ trace-cmd-2461 [000] 34.897665: sched_stat_runtime: comm=trace-cmd pid=2461 runtime=335875 [ns] vruntime=6087765944 [ns]
+ trace-cmd-2461 [000] 34.897675: sched_stat_runtime: comm=trace-cmd pid=2461 runtime=10459 [ns] vruntime=6087776403 [ns]
+ trace-cmd-2461 [000] 34.897697: sched_stat_sleep: comm=bash pid=2459 delay=696151164 [ns]
+ trace-cmd-2461 [000] 34.897703: sched_wakeup: bash:2459 [120] success=1 CPU:001
+ trace-cmd-2461 [000] 34.897708: sched_stat_runtime: comm=trace-cmd pid=2461 runtime=33500 [ns] vruntime=6087809903 [ns]
+ trace-cmd-2461 [000] 34.897738: sched_switch: trace-cmd:2461 [120] x ==> swapper/0:0 [120]
+ <idle>-0 [001] 34.898076: sched_stat_wait: comm=bash pid=2459 delay=0 [ns]
+ <idle>-0 [001] 34.898082: sched_switch: swapper/1:0 [120] R ==> bash:2459 [120]
+ bash-2459 [001] 34.898188: sched_process_wait: comm=bash pid=0 prio=120
+ bash-2459 [001] 34.898653: sched_process_exit: comm=bash pid=2459 prio=120
+ bash-2459 [001] 34.898702: sched_stat_sleep: comm=sshd pid=2450 delay=1394541 [ns]
+ bash-2459 [001] 34.898708: sched_wakeup: sshd:2450 [120] success=1 CPU:000
+ <idle>-0 [000] 34.898720: sched_stat_wait: comm=sshd pid=2450 delay=0 [ns]
+ bash-2459 [001] 34.898721: sched_stat_runtime: comm=bash pid=2459 runtime=1027542 [ns] vruntime=6723004163 [ns]
+ <idle>-0 [000] 34.898726: sched_switch: swapper/0:0 [120] R ==> sshd:2450 [120]
+ bash-2459 [001] 34.898732: sched_stat_runtime: comm=bash pid=2459 runtime=11000 [ns] vruntime=6723015163 [ns]
+ bash-2459 [001] 34.898745: sched_stat_runtime: comm=bash pid=2459 runtime=13458 [ns] vruntime=6723028621 [ns]
+ bash-2459 [001] 34.898758: sched_switch: bash:2459 [120] x ==> swapper/1:0 [120]
+ sshd-2450 [000] 34.898825: sched_process_wait: comm=sshd pid=0 prio=120
+ sshd-2450 [000] 34.898927: sched_process_wait: comm=sshd pid=0 prio=120
+ sshd-2450 [000] 34.898983: sched_stat_runtime: comm=sshd pid=2450 runtime=285875 [ns] vruntime=6079108278 [ns]
+ sshd-2450 [000] 34.898996: sched_switch: sshd:2450 [120] S ==> swapper/0:0 [120]
+ <idle>-0 [000] 34.899144: sched_stat_sleep: comm=rcu_sched pid=7 delay=1810584 [ns]
+ <idle>-0 [000] 34.899149: sched_wakeup: rcu_sched:7 [120] success=1 CPU:000
+ <idle>-0 [000] 34.899175: sched_stat_wait: comm=rcu_sched pid=7 delay=0 [ns]
+ <idle>-0 [000] 34.899180: sched_switch: swapper/0:0 [120] R ==> rcu_sched:7 [120]
+ rcu_sched-7 [000] 34.899194: sched_stat_sleep: comm=rcuos/0 pid=9 delay=2004042 [ns]
+ rcu_sched-7 [000] 34.899200: sched_wakeup: rcuos/0:9 [120] success=1 CPU:001
+ rcu_sched-7 [000] 34.899205: sched_stat_runtime: comm=rcu_sched pid=7 runtime=65375 [ns] vruntime=6078875278 [ns]
+ rcu_sched-7 [000] 34.899216: sched_switch: rcu_sched:7 [120] S ==> swapper/0:0 [120]
+ <idle>-0 [001] 34.899620: sched_stat_wait: comm=rcuos/0 pid=9 delay=0 [ns]
+ <idle>-0 [001] 34.899628: sched_switch: swapper/1:0 [120] R ==> rcuos/0:9 [120]
+ rcuos/0-9 [001] 34.899770: sched_stat_sleep: comm=rcu_sched pid=7 delay=538708 [ns]
+ rcuos/0-9 [001] 34.899807: sched_wakeup: rcu_sched:7 [120] success=1 CPU:000
+ rcuos/0-9 [001] 34.899848: sched_stat_runtime: comm=rcuos/0 pid=9 runtime=653458 [ns] vruntime=6731630079 [ns]
+ rcuos/0-9 [001] 34.899877: sched_switch: rcuos/0:9 [120] S ==> swapper/1:0 [120]
+ <idle>-0 [000] 34.899988: sched_stat_sleep: comm=sshd pid=2450 delay=1003959 [ns]
+ <idle>-0 [000] 34.899995: sched_wakeup: sshd:2450 [120] success=1 CPU:001
+ <idle>-0 [000] 34.900052: sched_stat_wait: comm=rcu_sched pid=7 delay=0 [ns]
+ <idle>-0 [000] 34.900058: sched_switch: swapper/0:0 [120] R ==> rcu_sched:7 [120]
+ rcu_sched-7 [000] 34.900069: sched_stat_runtime: comm=rcu_sched pid=7 runtime=325042 [ns] vruntime=6079200320 [ns]
+ rcu_sched-7 [000] 34.900086: sched_switch: rcu_sched:7 [120] S ==> swapper/0:0 [120]
+ <idle>-0 [001] 34.900452: sched_stat_wait: comm=sshd pid=2450 delay=0 [ns]
+ <idle>-0 [001] 34.900460: sched_switch: swapper/1:0 [120] R ==> sshd:2450 [120]
+ sshd-2450 [001] 34.900756: sched_stat_sleep: comm=in:imuxsock pid=1767 delay=1926798326 [ns]
+ sshd-2450 [001] 34.900767: sched_wakeup: in:imuxsock:1767 [120] success=1 CPU:000
+ <idle>-0 [000] 34.901148: sched_stat_wait: comm=in:imuxsock pid=1767 delay=0 [ns]
+ <idle>-0 [000] 34.901155: sched_switch: swapper/0:0 [120] R ==> in:imuxsock:1767 [120]
+ in:imuxsock-1767 [000] 34.901243: sched_stat_runtime: comm=in:imuxsock pid=1767 runtime=496084 [ns] vruntime=6079305987 [ns]
+ in:imuxsock-1767 [000] 34.901250: sched_stat_sleep: comm=rs:main Q:Reg pid=1769 delay=1925639660 [ns]
+ in:imuxsock-1767 [000] 34.901256: sched_wakeup: rs:main Q:Reg:1769 [120] success=1 CPU:000
+ in:imuxsock-1767 [000] 34.901271: sched_stat_runtime: comm=in:imuxsock pid=1767 runtime=28500 [ns] vruntime=6079334487 [ns]
+ in:imuxsock-1767 [000] 34.901282: sched_stat_wait: comm=rs:main Q:Reg pid=1769 delay=28500 [ns]
+ in:imuxsock-1767 [000] 34.901287: sched_switch: in:imuxsock:1767 [120] S ==> rs:main Q:Reg:1769 [120]
+ sshd-2450 [001] 34.901431: sched_stat_runtime: comm=rs:main Q:Reg pid=1769 runtime=160500 [ns] vruntime=6078970403 [ns]
+ sshd-2450 [001] 34.901437: sched_stat_sleep: comm=in:imuxsock pid=1767 delay=160500 [ns]
+ sshd-2450 [001] 34.901443: sched_wakeup: in:imuxsock:1767 [120] success=1 CPU:000
+ rs:main-1769 [000] 34.901447: sched_stat_runtime: comm=rs:main Q:Reg pid=1769 runtime=15833 [ns] vruntime=6078986236 [ns]
+ rs:main-1769 [000] 34.901457: sched_stat_wait: comm=in:imuxsock pid=1767 delay=15833 [ns]
+ rs:main-1769 [000] 34.901462: sched_switch: rs:main Q:Reg:1769 [120] S ==> in:imuxsock:1767 [120]
+ in:imuxsock-1767 [000] 34.901490: sched_stat_runtime: comm=in:imuxsock pid=1767 runtime=43125 [ns] vruntime=6079377612 [ns]
+ in:imuxsock-1767 [000] 34.901495: sched_stat_sleep: comm=rs:main Q:Reg pid=1769 delay=43125 [ns]
+ in:imuxsock-1767 [000] 34.901501: sched_wakeup: rs:main Q:Reg:1769 [120] success=1 CPU:000
+ in:imuxsock-1767 [000] 34.901511: sched_stat_runtime: comm=in:imuxsock pid=1767 runtime=21333 [ns] vruntime=6079398945 [ns]
diff --git a/tests/trace_equals.txt b/tests/trace_equals.txt
new file mode 100644
index 0000000..01e1ce1
--- /dev/null
+++ b/tests/trace_equals.txt
@@ -0,0 +1,7 @@
+ systemd-journal-1662 [003] 653.065292: bputs: 0xffff0000080feeb8s: equals_event: my_field=foo
+ systemd-journal-1662 [003] 653.065293: bputs: 0xffff0000080feeb8s: equals_event: my_field=foo=bar
+ systemd-journal-1662 [003] 653.065294: bputs: 0xffff0000080feeb8s: equals_event: my_field=foo=bar=baz
+ systemd-journal-1662 [003] 653.065295: bputs: 0xffff0000080feeb8s: equals_event: my_field=1
+ systemd-journal-1662 [003] 653.065296: bputs: 0xffff0000080feeb8s: equals_event: my_field=1=2
+ systemd-journal-1662 [003] 653.065297: bputs: 0xffff0000080feeb8s: equals_event: my_field=1=foo
+ systemd-journal-1662 [003] 653.065298: bputs: 0xffff0000080feeb8s: equals_event: my_field=1foo=2
diff --git a/tests/trace_idle.dat b/tests/trace_idle.dat
new file mode 100644
index 0000000..a2b1d66
--- /dev/null
+++ b/tests/trace_idle.dat
Binary files differ
diff --git a/tests/trace_legacy_systrace.html b/tests/trace_legacy_systrace.html
new file mode 100644
index 0000000..73ea663
--- /dev/null
+++ b/tests/trace_legacy_systrace.html
@@ -0,0 +1,52 @@
+<!DOCTYPE HTML>
+<html>
+<head i18n-values="dir:textdirection;">
+<title>Android System Trace</title>
+#
+# Remove HTML content...
+#
+<style>
+ .view {
+ overflow: hidden;
+ position: absolute;
+ top: 0;
+ bottom: 0;
+ left: 0;
+ right: 0;
+ }
+</style>
+</head>
+<body>
+ <div class="view">
+ </div>
+ <script>
+ var linuxPerfData = "\
+# tracer: nop
+#
+# entries-in-buffer/entries-written: 1023529/1023529 #P:8
+#
+# _-----=> irqs-off
+# / _----=> need-resched
+# | / _---=> hardirq/softirq
+# || / _--=> preempt-depth
+# ||| / delay
+# TASK-PID CPU# |||| TIMESTAMP FUNCTION
+# | | | |||| | |
+ <...>-10144 [007] dn.4 7480.992787: sched_wakeup: comm=kworker/7:0 pid=9996 prio=120 success=1 target_cpu=007
+ <...>-10144 [007] dn.3 7480.992804: sched_contrib_scale_f: cpu=7 freq_scale_factor=358 cpu_scale_factor=1024
+ <...>-10144 [007] dn.3 7480.992806: sched_contrib_scale_f: cpu=7 freq_scale_factor=358 cpu_scale_factor=1024
+ <...>-10144 [007] dn.3 7480.992807: sched_load_avg_task: comm=sh pid=10144 cpu=7 load_avg=860 util_avg=859 load_sum=41069599 util_sum=41057230 period_contrib=985
+ <...>-10144 [007] dn.3 7480.992808: sched_load_avg_cpu: cpu=7 load_avg=842 util_avg=842
+ <...>-10144 [007] dn.3 7480.992814: sched_load_avg_cpu: cpu=7 load_avg=879 util_avg=880
+ <...>-10144 [007] d..3 7480.992816: sched_switch: prev_comm=sh prev_pid=10144 prev_prio=120 prev_state=R+ ==> next_comm=kworker/7:0 next_pid=9996 next_prio=120
+ <...>-9996 [007] d..3 7480.992848: sched_load_avg_task: comm=kworker/7:0 pid=9996 cpu=7 load_avg=0 util_avg=0 load_sum=26624 util_sum=26624 period_contrib=662
+ <...>-9996 [007] d..3 7480.992849: sched_load_avg_cpu: cpu=7 load_avg=879 util_avg=880
+ <...>-9996 [007] d..3 7480.992860: sched_load_avg_cpu: cpu=7 load_avg=879 util_avg=880
+ <...>-9996 [007] d..3 7480.992862: sched_switch: prev_comm=kworker/7:0 prev_pid=9996 prev_prio=120 prev_state=S ==> next_comm=sh next_pid=10144 next_prio=120
+ adbd-315 [002] dn.4 7480.993239: sched_wakeup: comm=kworker/2:1 pid=5748 prio=120 success=1 target_cpu=002
+ adbd-315 [002] dn.3 7480.993255: sched_load_avg_task: comm=kworker/2:1 pid=5748 cpu=2 load_avg=0 util_avg=0 load_sum=0 util_sum=0 period_contrib=622
+ adbd-315 [002] dn.3 7480.993256: sched_load_avg_cpu: cpu=2 load_avg=63 util_avg=17
+ adbd-315 [002] d..3 7480.993259: sched_switch: prev_comm=adbd prev_pid=315 prev_prio=120 prev_state=R+ ==> next_comm=kworker/2:1 next_pid=5748 next_prio=120
+ </script>
+</body>
+</html>
diff --git a/tests/trace_sched.txt b/tests/trace_sched.txt
new file mode 100644
index 0000000..a0b4081
--- /dev/null
+++ b/tests/trace_sched.txt
@@ -0,0 +1,13 @@
+version = 6
+cpus=6
+ rcuos/2-22 [001] 6550.018511: sched_load_avg_sg: cpus=00000002 load=0 utilization=0
+ trace-cmd-2971 [004] 6550.018512: sched_load_avg_task: comm=sshd pid=2962 load=0 utilization=0 runnable_avg_sum=0 running_avg_sum=0 avg_period=48595
+ sshd-2962 [000] 6550.018513: sched_load_avg_cpu: cpu=0 load=13 utilization=18
+ sshd-2962 [000] 6550.018514: dynamic_test_key: cpu=0 load=13 utilization=18
+ <...>-19427 [000] 6550.018664: sched_wakeup_new: comm=shutils pid=19428 prio=120 success=1 target_cpu=2
+ <idle>-0 [000] 6550.018679: sched_contrib_scale_f: cpu=0 freq_scale_factor=426 cpu_scale_factor=1024
+ trace-cmd-3519 [003] 6550.018805: sched_cpu_capacity: cpu=3 capacity=430 rt_capacity=1024
+ kworker/0:0-3410 [000] 6550.056870: cpu_frequency: state=600000 cpu_id=0
+ <idle>-0 [001] 6550.100000: sched_wakeup: comm=rcu_preempt pid=7 prio=120 success=1 target_cpu=1
+ <...>-19427 [000] 6551.993884: sched_wakeup_new: comm=shutils pid=19428 prio=120 success=1 target_cpu=2
+ <idle>-0 [001] 6552.000002: sched_wakeup: comm=rcu_preempt pid=7 prio=120 success=1 target_cpu=1
diff --git a/tests/trace_systrace.html b/tests/trace_systrace.html
new file mode 100644
index 0000000..94f2aaa
--- /dev/null
+++ b/tests/trace_systrace.html
@@ -0,0 +1,39 @@
+<!DOCTYPE html>
+#
+# Remove HTML content...
+#
+ overlay.textContent = tr.b.normalizeException(err).message;
+ overlay.title = 'Import error';
+ overlay.visible = true;
+ });
+ }
+ window.addEventListener('load', onLoad);
+ </script>
+<!-- BEGIN TRACE -->
+ <script class="trace-data" type="application/text">
+# tracer: nop
+#
+# entries-in-buffer/entries-written: 71906/71906 #P:6
+# enabled events: sched:sched_switch sched:sched_wakeup
+#
+# _-----=> irqs-off
+# / _----=> need-resched
+# | / _---=> hardirq/softirq
+# || / _--=> preempt-depth
+# ||| / delay
+# TASK-PID TGID CPU# |||| TIMESTAMP FUNCTION
+# | | | | |||| | |
+RenderThread-1143 ( 611) [004] ...1 514769.085985: tracing_mark_write: E
+com.android.systemui-611 ( 611) [001] d..5 514769.086090: sched_wakeup: comm=Binder_5 pid=679 prio=120 success=1 target_cpu=001 state=W
+com.android.systemui-611 ( 611) [001] d..3 514769.086139: sched_switch: prev_comm=ndroid.systemui prev_pid=611 prev_prio=120 prev_state=S ==> next_comm=Binder_5 next_pid=679 next_prio=120
+atrace-15227 (15227) [000] ...1 514769.086243: tracing_mark_write: trace_event_clock_sync: realtime_ts=1448979311493
+atrace-15227 (15227) [000] d..3 514769.086283: sched_switch: prev_comm=atrace prev_pid=15227 prev_prio=120 prev_state=S ==> next_comm=Binder_4 next_pid=280 next_prio=120
+Binder_4-280 ( 184) [000] d..5 514769.086330: sched_wakeup: comm=Binder_5 pid=1158 prio=120 success=1 target_cpu=002 state=W
+ <idle>-0 (-----) [002] d..3 514769.086339: sched_switch: prev_comm=swapper/2 prev_pid=0 prev_prio=120 prev_state=R ==> next_comm=Binder_5 next_pid=1158 next_prio=120
+Binder_4-280 ( 184) [000] d..3 514769.086417: sched_wakeup: comm=Binder_5 pid=1158 prio=120 success=1 target_cpu=002 state=W|m
+ <idle>-0 (-----) [002] d..3 514769.086424: sched_switch: prev_comm=swapper/2 prev_pid=0 prev_prio=120 prev_state=R ==> next_comm=Binder_5 next_pid=1158 next_prio=120
+Binder_4-280 ( 184) [000] d..4 514769.086473: sched_wakeup: comm=EventThread pid=238 prio=111 success=1 target_cpu=003 state=W
+ </script>
+<!-- END TRACE -->
+</body>
+</html>
diff --git a/tests/trappy b/tests/trappy
new file mode 120000
index 0000000..33a15b9
--- /dev/null
+++ b/tests/trappy
@@ -0,0 +1 @@
+../trappy \ No newline at end of file
diff --git a/tests/unconstrained.csv b/tests/unconstrained.csv
new file mode 100644
index 0000000..583aaf8
--- /dev/null
+++ b/tests/unconstrained.csv
@@ -0,0 +1,147 @@
+id,workload,iteration,metric,value,units
+power_allocator_1,antutu,1,float_2,4,
+power_allocator_1,antutu,1,multitask_3,4,
+power_allocator_1,antutu,1,float,2,
+power_allocator_1,antutu,1,3d_5,6,
+power_allocator_1,antutu,1,float_4,9,
+power_allocator_1,antutu,1,floatsingle_3,1,
+power_allocator_1,antutu,1,integer_5,8,
+power_allocator_1,antutu,1,integer_4,2,
+power_allocator_1,antutu,1,integer_3,7,
+power_allocator_1,antutu,1,integer_2,0,
+power_allocator_1,antutu,1,integer,9,
+power_allocator_1,antutu,1,ram_5,1,
+power_allocator_1,antutu,1,ram_4,1,
+power_allocator_1,antutu,1,multitask,4,
+power_allocator_1,antutu,1,ram_3,2,
+power_allocator_1,antutu,1,ram_2,2,
+power_allocator_1,antutu,1,integersingle_4,9,
+power_allocator_1,antutu,1,memory_3,3,
+power_allocator_1,antutu,1,memory_2,3,
+power_allocator_1,antutu,1,memory_5,9,
+power_allocator_1,antutu,1,memory_4,6,
+power_allocator_1,antutu,1,float_3,7,
+power_allocator_1,antutu,1,integersingle_2,8,
+power_allocator_1,antutu,1,storage,8,
+power_allocator_1,antutu,1,renderscript,5,
+power_allocator_1,antutu,1,score_3,4,
+power_allocator_1,antutu,1,score,2,
+power_allocator_1,antutu,1,score_5,9,
+power_allocator_1,antutu,1,score_4,6,
+power_allocator_1,antutu,1,memory,6,
+power_allocator_1,antutu,1,renderscript_5,3,
+power_allocator_1,antutu,1,score_2,6,
+power_allocator_1,antutu,1,storage_5,5,
+power_allocator_1,antutu,1,3d,4,
+power_allocator_1,antutu,1,storage_3,3,
+power_allocator_1,antutu,1,integersingle,8,
+power_allocator_1,antutu,1,integersingle_3,6,
+power_allocator_1,antutu,1,float_5,3,
+power_allocator_1,antutu,1,2d_4,9,
+power_allocator_1,antutu,1,2d_5,5,
+power_allocator_1,antutu,1,2d_2,5,
+power_allocator_1,antutu,1,2d_3,5,
+power_allocator_1,antutu,1,renderscript_4,3,
+power_allocator_1,antutu,1,storage_2,8,
+power_allocator_1,antutu,1,floatsingle_2,7,
+power_allocator_1,antutu,1,floatsingle_5,7,
+power_allocator_1,antutu,1,floatsingle,9,
+power_allocator_1,antutu,1,storage_4,4,
+power_allocator_1,antutu,1,floatsingle_4,4,
+power_allocator_1,antutu,1,database,0,
+power_allocator_1,antutu,1,3d_4,5,
+power_allocator_1,antutu,1,dalvik,9,
+power_allocator_1,antutu,1,multitask_5,9,
+power_allocator_1,antutu,1,multitask_4,8,
+power_allocator_1,antutu,1,ram,2,
+power_allocator_1,antutu,1,multitask_2,1,
+power_allocator_1,antutu,1,2d,5,
+power_allocator_1,antutu,1,3d_3,4,
+power_allocator_1,antutu,1,3d_2,9,
+power_allocator_1,antutu,1,renderscript_3,2,
+power_allocator_1,antutu,1,renderscript_2,3,
+power_allocator_1,antutu,1,integersingle_5,5,
+power_allocator_1,antutu,1,database_5,5,
+power_allocator_1,antutu,1,database_4,3,
+power_allocator_1,antutu,1,dalvik_5,2,
+power_allocator_1,antutu,1,dalvik_4,9,
+power_allocator_1,antutu,1,dalvik_3,7,
+power_allocator_1,antutu,1,dalvik_2,9,
+power_allocator_1,antutu,1,database_3,4,
+power_allocator_1,antutu,1,database_2,5,
+power_allocator_1,antutu,1,execution_time,1234.67110705,seconds
+power_allocator_1,antutu,1,FPS,nan,
+power_allocator_1,antutu,1,frame_count,0,
+power_allocator_1,antutu,1,janks,0,
+power_allocator_1,antutu,1,not_at_vsync,0,
+power_allocator_2,egypt_offscreen,1,Frames_0,3,frames
+power_allocator_2,egypt_offscreen,1,FPS_0,864,fps
+power_allocator_2,egypt_offscreen,1,Frames_1,1,frames
+power_allocator_2,egypt_offscreen,1,FPS_1,802,fps
+power_allocator_2,egypt_offscreen,1,Frames_2,1,frames
+power_allocator_2,egypt_offscreen,1,FPS_2,47,fps
+power_allocator_2,egypt_offscreen,1,execution_time,1234.934822083,seconds
+power_allocator_2,egypt_offscreen,1,FPS,123.0000024,
+power_allocator_2,egypt_offscreen,1,frame_count,0,
+power_allocator_2,egypt_offscreen,1,janks,0,
+power_allocator_2,egypt_offscreen,1,not_at_vsync,0,
+power_allocator_3,t-rex_offscreen,1,Frames_0,6,frames
+power_allocator_3,t-rex_offscreen,1,FPS_0,185,fps
+power_allocator_3,t-rex_offscreen,1,Frames_1,4,frames
+power_allocator_3,t-rex_offscreen,1,FPS_1,878,fps
+power_allocator_3,t-rex_offscreen,1,Frames_2,1203,frames
+power_allocator_3,t-rex_offscreen,1,FPS_2,262,fps
+power_allocator_3,t-rex_offscreen,1,Frames_3,7,frames
+power_allocator_3,t-rex_offscreen,1,FPS_3,588,fps
+power_allocator_3,t-rex_offscreen,1,Frames_4,5,frames
+power_allocator_3,t-rex_offscreen,1,FPS_4,559,fps
+power_allocator_3,t-rex_offscreen,1,execution_time,1234.8131001,seconds
+power_allocator_3,t-rex_offscreen,1,FPS,123.0000024,
+power_allocator_3,t-rex_offscreen,1,frame_count,0,
+power_allocator_3,t-rex_offscreen,1,janks,0,
+power_allocator_3,t-rex_offscreen,1,not_at_vsync,0,
+power_allocator_4,geekbench,1,score,6,
+power_allocator_4,geekbench,1,multicore_score,2,
+power_allocator_4,geekbench,1,Integer_score,5,
+power_allocator_4,geekbench,1,Integer_multicore_score,9,
+power_allocator_4,geekbench,1,Floating Point_score,7,
+power_allocator_4,geekbench,1,Floating Point_multicore_score,2,
+power_allocator_4,geekbench,1,Memory_score,6,
+power_allocator_4,geekbench,1,Memory_multicore_score,9,
+power_allocator_4,geekbench,1,score 1,6,
+power_allocator_4,geekbench,1,multicore_score 1,4,
+power_allocator_4,geekbench,1,Integer_score 1,6,
+power_allocator_4,geekbench,1,Integer_multicore_score 1,1,
+power_allocator_4,geekbench,1,Floating Point_score 1,7,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 1,1,
+power_allocator_4,geekbench,1,Memory_score 1,5,
+power_allocator_4,geekbench,1,Memory_multicore_score 1,9,
+power_allocator_4,geekbench,1,score 2,1,
+power_allocator_4,geekbench,1,multicore_score 2,9,
+power_allocator_4,geekbench,1,Integer_score 2,5,
+power_allocator_4,geekbench,1,Integer_multicore_score 2,8,
+power_allocator_4,geekbench,1,Floating Point_score 2,5,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 2,2,
+power_allocator_4,geekbench,1,Memory_score 2,9,
+power_allocator_4,geekbench,1,Memory_multicore_score 2,3,
+power_allocator_4,geekbench,1,score 3,7,
+power_allocator_4,geekbench,1,multicore_score 3,6,
+power_allocator_4,geekbench,1,Integer_score 3,4,
+power_allocator_4,geekbench,1,Integer_multicore_score 3,8,
+power_allocator_4,geekbench,1,Floating Point_score 3,6,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 3,2,
+power_allocator_4,geekbench,1,Memory_score 3,7,
+power_allocator_4,geekbench,1,Memory_multicore_score 3,2,
+power_allocator_4,geekbench,1,score 4,9,
+power_allocator_4,geekbench,1,multicore_score 4,9,
+power_allocator_4,geekbench,1,Integer_score 4,3,
+power_allocator_4,geekbench,1,Integer_multicore_score 4,2,
+power_allocator_4,geekbench,1,Floating Point_score 4,8,
+power_allocator_4,geekbench,1,Floating Point_multicore_score 4,8,
+power_allocator_4,geekbench,1,Memory_score 4,4,
+power_allocator_4,geekbench,1,Memory_multicore_score 4,2,
+power_allocator_4,geekbench,1,execution_time,1234.361968994,seconds
+power_allocator_4,geekbench,1,FPS,nan,
+power_allocator_4,geekbench,1,frame_count,0,
+power_allocator_4,geekbench,1,janks,0,
+power_allocator_4,geekbench,1,not_at_vsync,0,
diff --git a/tests/utils_tests.py b/tests/utils_tests.py
new file mode 100644
index 0000000..617cfa3
--- /dev/null
+++ b/tests/utils_tests.py
@@ -0,0 +1,52 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import unittest
+import os
+import shutil
+import subprocess
+import tempfile
+
+TESTS_DIRECTORY = os.path.dirname(os.path.realpath(__file__))
+
+def trace_cmd_installed():
+ """Return true if trace-cmd is installed, false otherwise"""
+ with open(os.devnull) as devnull:
+ try:
+ subprocess.check_call(["trace-cmd", "options"], stdout=devnull)
+ except OSError:
+ return False
+
+ return True
+
+class SetupDirectory(unittest.TestCase):
+ def __init__(self, files_to_copy, *args, **kwargs):
+ self.files_to_copy = files_to_copy
+ super(SetupDirectory, self).__init__(*args, **kwargs)
+
+ def setUp(self):
+ self.previous_dir = os.getcwd()
+
+ self.out_dir = tempfile.mkdtemp()
+ os.chdir(self.out_dir)
+
+ for src_fname, dst_fname in self.files_to_copy:
+ src_fname = os.path.join(TESTS_DIRECTORY, src_fname)
+ shutil.copy(src_fname, os.path.join(self.out_dir, dst_fname))
+
+ def tearDown(self):
+ os.chdir(self.previous_dir)
+ shutil.rmtree(self.out_dir)
diff --git a/trappy/__init__.py b/trappy/__init__.py
new file mode 100644
index 0000000..3b3c419
--- /dev/null
+++ b/trappy/__init__.py
@@ -0,0 +1,54 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import warnings
+from trappy.bare_trace import BareTrace
+from trappy.compare_runs import summary_plots, compare_runs
+from trappy.ftrace import FTrace
+from trappy.systrace import SysTrace
+from trappy.version import __version__
+try:
+ from trappy.plotter.LinePlot import LinePlot
+except ImportError as exc:
+ class LinePlot(object):
+ def __init__(self, *args, **kwargs):
+ raise exc
+try:
+ from trappy.plotter.ILinePlot import ILinePlot
+ from trappy.plotter.EventPlot import EventPlot
+ from trappy.plotter.BarPlot import BarPlot
+except ImportError:
+ pass
+from trappy.dynamic import register_dynamic_ftrace, register_ftrace_parser, \
+ unregister_ftrace_parser
+import trappy.nbexport
+
+# We define unregister_dynamic_ftrace() because it undoes what
+# register_dynamic_ftrace(). Internally it does exactly the same as
+# unregister_ftrace_parser() though but with these two names the API
+# makes more sense: register with register_dynamic_ftrace(),
+# unregister with unregister_dynamic_ftrace()
+unregister_dynamic_ftrace = unregister_ftrace_parser
+
+# Load all the modules to make sure all classes are registered with FTrace
+import os
+for fname in os.listdir(os.path.dirname(__file__)):
+ import_name, extension = os.path.splitext(fname)
+ if (extension == ".py") and (fname != "__init__.py") and \
+ (fname != "plot_utils.py"):
+ __import__("trappy.{}".format(import_name))
+
+del fname, import_name, extension
diff --git a/trappy/bare_trace.py b/trappy/bare_trace.py
new file mode 100644
index 0000000..4900e8a
--- /dev/null
+++ b/trappy/bare_trace.py
@@ -0,0 +1,142 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+import re
+
+class BareTrace(object):
+ """A wrapper class that holds dataframes for all the events in a trace.
+
+ BareTrace doesn't parse any file so it's a class that should
+ either be (a) subclassed to parse a particular trace (like FTrace)
+ or (b) be instantiated and the events added with add_parsed_event()
+
+ :param name: is a string describing the trace.
+ :type name: str
+
+ """
+
+ def __init__(self, name="", build_df=True):
+ self.name = name
+ self.normalized_time = False
+ self.class_definitions = {}
+ self.trace_classes = []
+ self.basetime = 0
+ self.build_df = build_df
+
+ def get_duration(self):
+ """Returns the largest time value of all classes,
+ returns 0 if the data frames of all classes are empty"""
+ durations = []
+
+ for trace_class in self.trace_classes:
+ try:
+ durations.append(trace_class.data_frame.index[-1])
+ except IndexError:
+ pass
+
+ if len(durations) == 0:
+ return 0
+
+ if self.normalized_time:
+ return max(durations)
+ else:
+ return max(durations) - self.basetime
+
+ def get_filters(self, key=""):
+ """Returns an array with the available filters.
+
+ :param key: If specified, returns a subset of the available filters
+ that contain 'key' in their name (e.g., :code:`key="sched"` returns
+ only the :code:`"sched"` related filters)."""
+ filters = []
+
+ for cls in self.class_definitions:
+ if re.search(key, cls):
+ filters.append(cls)
+
+ return filters
+
+ def normalize_time(self, basetime=None):
+ """Normalize the time of all the trace classes
+
+ :param basetime: The offset which needs to be subtracted from
+ the time index
+ :type basetime: float
+ """
+ return # HACK: Since we're not normalizing anymore after the fact
+
+ if basetime is not None:
+ self.basetime = basetime
+
+ for trace_class in self.trace_classes:
+ trace_class.normalize_time(self.basetime)
+
+ self.normalized_time = True
+
+ def add_parsed_event(self, name, dfr, pivot=None):
+ """Add a dataframe to the events in this trace
+
+ This function lets you add other events that have been parsed
+ by other tools to the collection of events in this instance. For
+ example, assuming you have some events in a csv, you could add
+ them to a trace instance like this:
+
+ >>> trace = trappy.BareTrace()
+ >>> counters_dfr = pd.DataFrame.from_csv("counters.csv")
+ >>> trace.add_parsed_event("pmu_counters", counters_dfr)
+
+ Now you can access :code:`trace.pmu_counters` as you would with any
+ other trace event and other trappy classes can interact with
+ them.
+
+ :param name: The attribute name in this trace instance. As in the example above, if :code:`name` is "pmu_counters", the parsed event will be accessible using :code:`trace.pmu_counters`.
+ :type name: str
+
+ :param dfr: :mod:`pandas.DataFrame` containing the events. Its index should be time in seconds. Its columns are the events.
+ :type dfr: :mod:`pandas.DataFrame`
+
+ :param pivot: The data column about which the data can be grouped
+ :type pivot: str
+
+ """
+ from trappy.base import Base
+ from trappy.dynamic import DynamicTypeFactory, default_init
+
+ if hasattr(self, name):
+ raise ValueError("event {} already present".format(name))
+
+ kwords = {
+ "__init__": default_init,
+ "unique_word": name + ":",
+ "name": name,
+ }
+
+ trace_class = DynamicTypeFactory(name, (Base,), kwords)
+ self.class_definitions[name] = trace_class
+
+ event = trace_class()
+ self.trace_classes.append(event)
+ event.data_frame = dfr
+ if pivot:
+ event.pivot = pivot
+
+ setattr(self, name, event)
+
+ def finalize_objects(self):
+ if not self.build_df:
+ return
+ for trace_class in self.trace_classes:
+ trace_class.create_dataframe()
+ trace_class.finalize_object()
diff --git a/trappy/base.py b/trappy/base.py
new file mode 100644
index 0000000..c0238cf
--- /dev/null
+++ b/trappy/base.py
@@ -0,0 +1,273 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Base class to parse trace.dat dumps"""
+
+import re
+import pandas as pd
+import warnings
+
+from resource import getrusage, RUSAGE_SELF
+
+def _get_free_memory_kb():
+ try:
+ with open("/proc/meminfo") as f:
+ memfree_line = [l for l in f.readlines() if "MemFree" in l][0]
+ _, num_kb, _ = memfree_line.split()
+ return int(num_kb)
+ except:
+ # Probably either not running on Linux (no /proc/meminfo), or format has
+ # changed (we didn't find num_kb).
+ return None
+
+def trace_parser_explode_array(string, array_lengths):
+ """Explode an array in the trace into individual elements for easy parsing
+
+ Basically, turn :code:`load={1 1 2 2}` into :code:`load0=1 load1=1 load2=2
+ load3=2`.
+
+ :param string: Input string from the trace
+ :type string: str
+
+ :param array_lengths: A dictionary of array names and their
+ expected length. If we get array that's shorter than the expected
+ length, additional keys have to be introduced with value 0 to
+ compensate.
+ :type array_lengths: dict
+
+ For example:
+ ::
+
+ trace_parser_explode_array(string="load={1 2}",
+ array_lengths={"load": 4})
+ "load0=1 load1=2 load2=0 load3=0"
+ """
+
+ while True:
+ match = re.search(r"[^ ]+={[^}]+}", string)
+ if match is None:
+ break
+
+ to_explode = match.group()
+ col_basename = re.match(r"([^=]+)=", to_explode).groups()[0]
+ vals_str = re.search(r"{(.+)}", to_explode).groups()[0]
+ vals_array = vals_str.split(' ')
+
+ exploded_str = ""
+ for (idx, val) in enumerate(vals_array):
+ exploded_str += "{}{}={} ".format(col_basename, idx, val)
+
+ vals_added = len(vals_array)
+ if vals_added < array_lengths[col_basename]:
+ for idx in range(vals_added, array_lengths[col_basename]):
+ exploded_str += "{}{}=0 ".format(col_basename, idx)
+
+ exploded_str = exploded_str[:-1]
+ begin_idx = match.start()
+ end_idx = match.end()
+
+ string = string[:begin_idx] + exploded_str + string[end_idx:]
+
+ return string
+
+class Base(object):
+ """Base class to parse trace.dat dumps.
+
+ Don't use directly, create a subclass that has a unique_word class
+ variable. unique_word is a string that can uniquely identify
+ lines in the trace that correspond to this event. This is usually
+ the trace_name (optionally followed by a semicolong,
+ e.g. "sched_switch:") but it can be anything else for trace points
+ generated using trace_printk().
+
+ :param parse_raw: If :code:`True`, raw trace data (-R option) to
+ trace-cmd will be used
+
+ This class acts as a base class for all TRAPpy events
+
+ """
+ def __init__(self, parse_raw=False):
+ self.data_frame = pd.DataFrame()
+ self.data_array = []
+ self.time_array = []
+ self.comm_array = []
+ self.pid_array = []
+ self.tgid_array = []
+ self.cpu_array = []
+ self.callback = None
+ self.parse_raw = parse_raw
+
+ def finalize_object(self):
+ pass
+
+ def __get_trace_array_lengths(self):
+ """Calculate the lengths of all arrays in the trace
+
+ Returns a dict with the name of each array found in the trace
+ as keys and their corresponding length as value
+
+ """
+ from collections import defaultdict
+
+ pat_array = re.compile(r"([A-Za-z0-9_]+)={([^}]+)}")
+
+ ret = defaultdict(int)
+
+ for line in self.data_array:
+ while True:
+ match = re.search(pat_array, line)
+ if not match:
+ break
+
+ (array_name, array_elements) = match.groups()
+
+ array_len = len(array_elements.split(' '))
+
+ if array_len > ret[array_name]:
+ ret[array_name] = array_len
+
+ line = line[match.end():]
+
+ # Stop scanning if the trace doesn't have arrays
+ if len(ret) == 0:
+ break
+
+ return ret
+
+ def append_data(self, time, comm, pid, tgid, cpu, data):
+ """Append data parsed from a line to the corresponding arrays
+
+ The :mod:`DataFrame` will be created from this when the whole trace
+ has been parsed.
+
+ :param time: The time for the line that was printed in the trace
+ :type time: float
+
+ :param comm: The command name or the execname from which the trace
+ line originated
+ :type comm: str
+
+ :param pid: The PID of the process from which the trace
+ line originated
+ :type pid: int
+
+ :param data: The data for matching line in the trace
+ :type data: str
+ """
+
+ self.time_array.append(time)
+ self.comm_array.append(comm)
+ self.pid_array.append(pid)
+ self.tgid_array.append(tgid)
+ self.cpu_array.append(cpu)
+ self.data_array.append(data)
+
+ if not self.callback:
+ return
+ data_dict = self.generate_data_dict(comm, pid, cpu, data)
+ self.callback(time, data_dict)
+
+ def generate_data_dict(self, comm, pid, tgid, cpu, data_str):
+ data_dict = {"__comm": comm, "__pid": pid, "__tgid": tgid, "__cpu": cpu}
+ prev_key = None
+ for field in data_str.split():
+ if "=" not in field:
+ # Concatenation is supported only for "string" values
+ if type(data_dict[prev_key]) is not str:
+ continue
+ data_dict[prev_key] += ' ' + field
+ continue
+ (key, value) = field.split('=', 1)
+ try:
+ value = int(value)
+ except ValueError:
+ pass
+ data_dict[key] = value
+ prev_key = key
+ return data_dict
+
+ def generate_parsed_data(self):
+
+ # Get a rough idea of how much memory we have to play with
+ CHECK_MEM_COUNT = 10000
+ kb_free = _get_free_memory_kb()
+ starting_maxrss = getrusage(RUSAGE_SELF).ru_maxrss
+ check_memory_usage = True
+ check_memory_count = 1
+
+ for (comm, pid, tgid, cpu, data_str) in zip(self.comm_array, self.pid_array,
+ self.tgid_array, self.cpu_array,
+ self.data_array):
+ data_dict = self.generate_data_dict(comm, pid, tgid, cpu, data_str)
+
+ # When running out of memory, Pandas has been observed to segfault
+ # rather than throwing a proper Python error.
+ # Look at how much memory our process is using and warn if we seem
+ # to be getting close to the system's limit, check it only once
+ # in the beginning and then every CHECK_MEM_COUNT events
+ check_memory_count -= 1
+ if check_memory_usage and check_memory_count == 0:
+ kb_used = (getrusage(RUSAGE_SELF).ru_maxrss - starting_maxrss)
+ if kb_free and kb_used > kb_free * 0.9:
+ warnings.warn("TRAPpy: Appear to be low on memory. "
+ "If errors arise, try providing more RAM")
+ check_memory_usage = False
+ check_memory_count = CHECK_MEM_COUNT
+
+ yield data_dict
+
+ def create_dataframe(self):
+ """Create the final :mod:`pandas.DataFrame`"""
+ if not self.time_array:
+ return
+
+ trace_arr_lengths = self.__get_trace_array_lengths()
+
+ if trace_arr_lengths.items():
+ for (idx, val) in enumerate(self.data_array):
+ expl_val = trace_parser_explode_array(val, trace_arr_lengths)
+ self.data_array[idx] = expl_val
+
+ time_idx = pd.Index(self.time_array, name="Time")
+ self.data_frame = pd.DataFrame(self.generate_parsed_data(), index=time_idx)
+
+ self.time_array = []
+ self.comm_array = []
+ self.pid_array = []
+ self.cpu_array = []
+ self.data_array = []
+
+ def write_csv(self, fname):
+ """Write the csv info into a CSV file
+
+ :param fname: The name of the CSV file
+ :type fname: str
+ """
+ self.data_frame.to_csv(fname)
+
+ def normalize_time(self, basetime):
+ """Substract basetime from the Time of the data frame
+
+ :param basetime: The offset which needs to be subtracted from
+ the time index
+ :type basetime: float
+ """
+ # HACK: We don't normalize anymore after the fact
+ return
+
+ if basetime and not self.data_frame.empty:
+ self.data_frame.reset_index(inplace=True)
+ self.data_frame["Time"] = self.data_frame["Time"] - basetime
+ self.data_frame.set_index("Time", inplace=True)
diff --git a/trappy/compare_runs.py b/trappy/compare_runs.py
new file mode 100644
index 0000000..c99f2f3
--- /dev/null
+++ b/trappy/compare_runs.py
@@ -0,0 +1,107 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import trappy.ftrace
+
+def compare_runs(actor_order, map_label, runs, **kwords):
+ """A side by side comparison of multiple runs
+
+ Plots include temperature, utilization, frequencies, PID
+ controller and power.
+
+ :param actor_order: An array showing the order in which the actors
+ were registered. The array values are the labels that
+ will be used in the input and output power plots.
+
+ For Example:
+ ::
+
+ ["GPU", "A15", "A7"]
+
+ :param map_label: A dict that matches cpumasks (as found in the
+ trace) with their proper name. This "proper name" will be used as
+ a label for the load and allfreqs plots. It's recommended that
+ the names of the cpus matches those in actor_order.
+
+ For Example:
+ ::
+
+ {"0000000f": "A7", "000000f0": "A15"}
+
+ :param runs: An array of tuples consisting of a name and the path to
+ the directory where the trace.dat is.
+
+ For example:
+ ::
+
+ [("experiment1", "wa_output/antutu_antutu_1"),
+ ("known good", "good/antutu_antutu_1")]
+
+ :param tz_id: thermal zone id as it appears in the id field of the
+ thermal_temperature trace event
+
+ :type actor_order: list
+ :type map_label: dict
+ :type runs: list
+ :type tz_id: int
+
+ """
+ import trappy.plot_utils
+ import trappy.wa
+
+ if not isinstance(actor_order, list):
+ raise TypeError("actor_order has to be an array")
+
+ if not isinstance(map_label, dict):
+ raise TypeError("map_label has to be a dict")
+
+ if "width" not in kwords:
+ kwords["width"] = 20
+ if "height" not in kwords:
+ kwords["height"] = 5
+
+ run_data = []
+ for name, path in runs:
+ run_data.append(trappy.FTrace(name=name, path=path, scope="thermal"))
+ trappy.wa.SysfsExtractor(path).pretty_print_in_ipython()
+
+ trappy.plot_utils.plot_temperature(run_data, **kwords)
+ if "tz_id" in kwords:
+ del kwords["tz_id"]
+
+ try:
+ trappy.plot_utils.plot_load(run_data, map_label, **kwords)
+ except IndexError:
+ raise ValueError("No power allocator traces found. Was IPA active (temp above switch on temperature) and FTrace configured to collect all thermal events?")
+ trappy.plot_utils.plot_allfreqs(run_data, map_label, **kwords)
+ trappy.plot_utils.plot_controller(run_data, **kwords)
+ trappy.plot_utils.plot_input_power(run_data, actor_order, **kwords)
+ trappy.plot_utils.plot_output_power(run_data, actor_order, **kwords)
+ trappy.plot_utils.plot_freq_hists(run_data, map_label)
+ trappy.plot_utils.plot_temperature_hist(run_data)
+
+def summary_plots(actor_order, map_label, **kwords):
+ """A summary of plots for a given run
+
+ .. warning::
+
+ This is a wrapper around compare_runs(). Use that instead.
+ """
+
+ path = kwords.pop("path", ".")
+ title = kwords.pop("title", "")
+
+ return compare_runs(actor_order, map_label, [(title, path)], **kwords)
diff --git a/trappy/cpu_power.py b/trappy/cpu_power.py
new file mode 100644
index 0000000..9cd0bba
--- /dev/null
+++ b/trappy/cpu_power.py
@@ -0,0 +1,198 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Process the output of the cpu_cooling devices in the current
+directory's trace.dat"""
+
+import pandas as pd
+
+from trappy.base import Base
+from trappy.dynamic import register_ftrace_parser
+
+def pivot_with_labels(dfr, data_col_name, new_col_name, mapping_label):
+ """Pivot a :mod:`pandas.DataFrame` row into columns
+
+ :param dfr: The :mod:`pandas.DataFrame` to operate on.
+
+ :param data_col_name: The name of the column in the :mod:`pandas.DataFrame`
+ which contains the values.
+
+ :param new_col_name: The name of the column in the :mod:`pandas.DataFrame` that will
+ become the new columns.
+
+ :param mapping_label: A dictionary whose keys are the values in
+ new_col_name and whose values are their
+ corresponding name in the :mod:`pandas.DataFrame` to be returned.
+
+ :type dfr: :mod:`pandas.DataFrame`
+ :type data_col_name: str
+ :type new_col_name: str
+ :type mapping_label: dict
+
+ Example:
+
+ >>> dfr_in = pd.DataFrame({'cpus': ["000000f0",
+ >>> "0000000f",
+ >>> "000000f0",
+ >>> "0000000f"
+ >>> ],
+ >>> 'freq': [1, 3, 2, 6]})
+ >>> dfr_in
+ cpus freq
+ 0 000000f0 1
+ 1 0000000f 3
+ 2 000000f0 2
+ 3 0000000f 6
+
+ >>> map_label = {"000000f0": "A15", "0000000f": "A7"}
+ >>> power.pivot_with_labels(dfr_in, "freq", "cpus", map_label)
+ A15 A7
+ 0 1 NaN
+ 1 1 3
+ 2 2 3
+ 3 2 6
+
+ """
+
+ # There has to be a more "pandas" way of doing this.
+
+ col_set = set(dfr[new_col_name])
+
+ ret_series = {}
+ for col in col_set:
+ try:
+ label = mapping_label[col]
+ except KeyError:
+ available_keys = ", ".join(mapping_label.keys())
+ error_str = '"{}" not found, available keys: {}'.format(col,
+ available_keys)
+ raise KeyError(error_str)
+ data = dfr[dfr[new_col_name] == col][data_col_name]
+
+ ret_series[label] = data
+
+ return pd.DataFrame(ret_series).fillna(method="pad")
+
+def num_cpus_in_mask(mask):
+ """Return the number of cpus in a cpumask"""
+
+ mask = mask.replace(",", "")
+ value = int(mask, 16)
+
+ return bin(value).count("1")
+
+class CpuOutPower(Base):
+ """Process the cpufreq cooling power actor data in a ftrace dump"""
+
+ unique_word = "thermal_power_cpu_limit"
+ """The unique word that will be matched in a trace line"""
+
+ name = "cpu_out_power"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ pivot = "cpus"
+ """The Pivot along which the data is orthogonal"""
+
+ def get_all_freqs(self, mapping_label):
+ """Get a :mod:`pandas.DataFrame` with the maximum frequencies allowed by the governor
+
+ :param mapping_label: A dictionary that maps cpumasks to name
+ of the cpu.
+ :type mapping_label: dict
+
+ :return: freqs are in MHz
+ """
+
+ dfr = self.data_frame
+
+ return pivot_with_labels(dfr, "freq", "cpus", mapping_label) / 1000
+
+register_ftrace_parser(CpuOutPower, "thermal")
+
+class CpuInPower(Base):
+ """Process the cpufreq cooling power actor data in a ftrace dump
+ """
+
+ unique_word = "thermal_power_cpu_get"
+ """The unique word that will be matched in a trace line"""
+
+ name = "cpu_in_power"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ pivot = "cpus"
+ """The Pivot along which the data is orthogonal"""
+
+ def _get_load_series(self):
+ """get a :mod:`pandas.Series` with the aggregated load"""
+
+ dfr = self.data_frame
+ load_cols = [s for s in dfr.columns if s.startswith("load")]
+
+ load_series = dfr[load_cols[0]].copy()
+ for col in load_cols[1:]:
+ load_series += dfr[col]
+
+ return load_series
+
+ def get_load_data(self, mapping_label):
+ """Return :mod:`pandas.DataFrame` suitable for plot_load()
+
+ :param mapping_label: A Dictionary mapping cluster cpumasks to labels
+ :type mapping_label: dict
+ """
+
+ dfr = self.data_frame
+ load_series = self._get_load_series()
+ load_dfr = pd.DataFrame({"cpus": dfr["cpus"], "load": load_series})
+
+ return pivot_with_labels(load_dfr, "load", "cpus", mapping_label)
+
+ def get_normalized_load_data(self, mapping_label):
+ """Return a :mod:`pandas.DataFrame` for plotting normalized load data
+
+ :param mapping_label: should be a dictionary mapping cluster cpumasks
+ to labels
+ :type mapping_label: dict
+ """
+
+ dfr = self.data_frame
+ load_series = self._get_load_series()
+
+ load_series *= dfr['freq']
+ for cpumask in mapping_label:
+ num_cpus = num_cpus_in_mask(cpumask)
+ idx = dfr["cpus"] == cpumask
+ max_freq = max(dfr[idx]["freq"])
+ load_series[idx] = load_series[idx] / (max_freq * num_cpus)
+
+ load_dfr = pd.DataFrame({"cpus": dfr["cpus"], "load": load_series})
+
+ return pivot_with_labels(load_dfr, "load", "cpus", mapping_label)
+
+ def get_all_freqs(self, mapping_label):
+ """get a :mod:`pandas.DataFrame` with the "in" frequencies as seen by the governor
+
+ .. note::
+
+ Frequencies are in MHz
+ """
+
+ dfr = self.data_frame
+
+ return pivot_with_labels(dfr, "freq", "cpus", mapping_label) / 1000
+
+register_ftrace_parser(CpuInPower, "thermal")
diff --git a/trappy/devfreq_power.py b/trappy/devfreq_power.py
new file mode 100644
index 0000000..a8a0ac1
--- /dev/null
+++ b/trappy/devfreq_power.py
@@ -0,0 +1,75 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+"""Process the output of the devfreq_cooling devices in the current
+directory's trace.dat"""
+
+import pandas as pd
+
+from trappy.base import Base
+from trappy.dynamic import register_ftrace_parser
+
+
+class DevfreqInPower(Base):
+ """Process de devfreq cooling device data regarding get_power in an
+FTrace dump"""
+
+ name = "devfreq_in_power"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ unique_word="thermal_power_devfreq_get_power:"
+ """The event name in the trace"""
+
+ def get_all_freqs(self):
+ """Return a :mod:`pandas.DataFrame` with
+ the frequencies for the devfreq device
+
+ The format should be the same as the one for
+ :code:`CpuInPower().get_all_freqs()`.
+
+ .. note:: Frequencies are in MHz.
+ """
+
+ return pd.DataFrame(self.data_frame["freq"] / 1000000)
+
+register_ftrace_parser(DevfreqInPower, "thermal")
+
+
+class DevfreqOutPower(Base):
+ """Process de devfreq cooling device data regarding power2state in an
+ftrace dump"""
+
+ name = "devfreq_out_power"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ unique_word="thermal_power_devfreq_limit:"
+ """The event name in the trace"""
+
+ def get_all_freqs(self):
+ """Return a :mod:`pandas.DataFrame` with
+ the output frequencies for the devfreq device
+
+ The format should be the same as the one for
+ :code:`CpuOutPower().get_all_freqs()`.
+
+ .. note:: Frequencies are in MHz.
+ """
+
+ return pd.DataFrame(self.data_frame["freq"] / 1000000)
+
+register_ftrace_parser(DevfreqOutPower, "thermal")
diff --git a/trappy/dynamic.py b/trappy/dynamic.py
new file mode 100644
index 0000000..f764232
--- /dev/null
+++ b/trappy/dynamic.py
@@ -0,0 +1,153 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+"""The idea is to create a wrapper class that
+returns a Type of a Class dynamically created based
+on the input parameters. Similar to a factory design
+pattern
+"""
+from trappy.base import Base
+import re
+from trappy.ftrace import GenericFTrace
+
+
+def default_init(self):
+ """Default Constructor for the
+ Dynamic MetaClass. This is used for
+ the dynamic object creation in
+ :mod:`trappy.dynamic.DynamicTypeFactory`
+ """
+
+ kwords = {}
+
+ try:
+ kwords["parse_raw"] = self.parse_raw
+ except AttributeError:
+ pass
+
+ super(type(self), self).__init__(**kwords)
+
+
+class DynamicTypeFactory(type):
+
+ """Override the type class to create
+ a dynamic type on the fly. This Factory
+ class is used internally by
+ :mod:`trappy.dynamic.register_dynamic_ftrace`
+ """
+
+ def __new__(mcs, name, bases, dct):
+ """Override the new method"""
+ return type.__new__(mcs, name, bases, dct)
+
+ def __init__(cls, name, bases, dct):
+ """Override the constructor"""
+ super(DynamicTypeFactory, cls).__init__(name, bases, dct)
+
+
+def _get_name(name):
+ """Internal Method to Change camelcase to
+ underscores. CamelCase -> camel_case
+ """
+ return re.sub('(?!^)([A-Z]+)', r'_\1', name).lower()
+
+
+def register_dynamic_ftrace(class_name, unique_word, scope="all",
+ parse_raw=False, pivot=None):
+ """Create a Dynamic FTrace parser and register it with any FTrace parsing classes
+
+ :param class_name: The name of the class to be registered
+ (Should be in CamelCase)
+ :type class_name: str
+
+ :param unique_word: The unique_word to be matched in the
+ trace
+ :type unique_word: str
+
+ :param scope: Registry Scope (Can be used to constrain
+ the parsing of events and group them together)
+ :type scope: str
+
+ :param parse_raw: If, true, raw trace output (-R flag)
+ will be used
+ :type parse_raw: bool
+
+ :param pivot: The data column about which the data can be grouped
+ :type pivot: str
+
+ For example if a new unique word :code:`my_unique_word` has
+ to be registered with TRAPpy:
+ ::
+
+ import trappy
+ custom_class = trappy.register_dynamic_ftrace("MyEvent", "my_unique_word")
+ trace = trappy.FTrace("/path/to/trace_file")
+
+ # New data member created in the ftrace object
+ trace.my_event
+
+ .. note:: The name of the member is :code:`my_event` from **MyEvent**
+
+
+ :return: A class object of type :mod:`trappy.base.Base`
+ """
+
+ kwords = {
+ "__init__": default_init,
+ "unique_word": unique_word,
+ "name": _get_name(class_name),
+ "parse_raw" : parse_raw,
+ }
+
+ if pivot:
+ kwords["pivot"] = pivot
+
+ dyn_class = DynamicTypeFactory(class_name, (Base,), kwords)
+ GenericFTrace.register_parser(dyn_class, scope)
+ return dyn_class
+
+
+def register_ftrace_parser(cls, scope="all"):
+ """Register a new FTrace parser class implementation
+
+ Should be used when the class has complex helper methods and does
+ not expect to use the default constructor.
+
+ :param cls: The class to be registered for
+ enabling the parsing of an event in trace
+ :type cls: :mod:`trappy.base.Base`
+
+ :param scope: scope of this parser class. The scope can be used
+ to restrict the parsing done on an individual file. Currently
+ the only scopes available are "sched", "thermal" or "all"
+ :type scope: string
+
+ """
+
+ # Check the argspec of the class
+ GenericFTrace.register_parser(cls, scope)
+
+def unregister_ftrace_parser(ftrace_parser):
+ """Unregister an ftrace parser
+
+ :param ftrace_parser: An ftrace parser class that was registered
+ with register_ftrace_parser() or register_dynamic_ftrace().
+ If done with the latter, the cls parameter is the return value
+ of register_dynamic_ftrace()
+ :type ftrace_parser: class derived from :mod:`trappy.base.Base`
+
+ """
+ GenericFTrace.unregister_parser(ftrace_parser)
diff --git a/trappy/ftrace.py b/trappy/ftrace.py
new file mode 100644
index 0000000..07cb94b
--- /dev/null
+++ b/trappy/ftrace.py
@@ -0,0 +1,605 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+# pylint can't see any of the dynamically allocated classes of FTrace
+# pylint: disable=no-member
+
+import itertools
+import os
+import re
+import pandas as pd
+
+from trappy.bare_trace import BareTrace
+from trappy.utils import listify
+
+class FTraceParseError(Exception):
+ pass
+
+def _plot_freq_hists(allfreqs, what, axis, title):
+ """Helper function for plot_freq_hists
+
+ allfreqs is the output of a Cpu*Power().get_all_freqs() (for
+ example, CpuInPower.get_all_freqs()). what is a string: "in" or
+ "out"
+
+ """
+ import trappy.plot_utils
+
+ for ax, actor in zip(axis, allfreqs):
+ this_title = "freq {} {}".format(what, actor)
+ this_title = trappy.plot_utils.normalize_title(this_title, title)
+ xlim = (0, allfreqs[actor].max())
+
+ trappy.plot_utils.plot_hist(allfreqs[actor], ax, this_title, "KHz", 20,
+ "Frequency", xlim, "default")
+
+class GenericFTrace(BareTrace):
+ """Generic class to parse output of FTrace. This class is meant to be
+subclassed by FTrace (for parsing FTrace coming from trace-cmd) and SysTrace."""
+
+ thermal_classes = {}
+
+ sched_classes = {}
+
+ dynamic_classes = {}
+
+ def __init__(self, name="", normalize_time=True, scope="all",
+ events=[], event_callbacks={}, window=(0, None),
+ abs_window=(0, None), build_df=True):
+ super(GenericFTrace, self).__init__(name, build_df)
+
+ self.normalized_time = normalize_time
+
+ if not hasattr(self, "needs_raw_parsing"):
+ self.needs_raw_parsing = False
+
+ self.class_definitions.update(self.dynamic_classes.items())
+ self.__add_events(listify(events))
+
+ if scope == "thermal":
+ self.class_definitions.update(self.thermal_classes.items())
+ elif scope == "sched":
+ self.class_definitions.update(self.sched_classes.items())
+ elif scope != "custom":
+ self.class_definitions.update(self.thermal_classes.items() +
+ self.sched_classes.items())
+
+ for attr, class_def in self.class_definitions.iteritems():
+ trace_class = class_def()
+ if event_callbacks.has_key(attr):
+ trace_class.callback = event_callbacks[attr]
+ setattr(self, attr, trace_class)
+ self.trace_classes.append(trace_class)
+
+ self.__parse_trace_file(self.trace_path, window, abs_window)
+ if self.needs_raw_parsing and (self.trace_path_raw is not None):
+ self.__parse_trace_file(self.trace_path_raw, window, abs_window,
+ raw=True)
+ self.finalize_objects()
+
+ @classmethod
+ def register_parser(cls, cobject, scope):
+ """Register the class as an Event. This function
+ can be used to register a class which is associated
+ with an FTrace unique word.
+
+ .. seealso::
+
+ :mod:`trappy.dynamic.register_dynamic_ftrace` :mod:`trappy.dynamic.register_ftrace_parser`
+
+ """
+
+ if not hasattr(cobject, "name"):
+ cobject.name = cobject.unique_word.split(":")[0]
+
+ # Add the class to the classes dictionary
+ if scope == "all":
+ cls.dynamic_classes[cobject.name] = cobject
+ else:
+ getattr(cls, scope + "_classes")[cobject.name] = cobject
+
+ @classmethod
+ def unregister_parser(cls, cobject):
+ """Unregister a parser
+
+ This is the opposite of FTrace.register_parser(), it removes a class
+ from the list of classes that will be parsed on the trace
+
+ """
+
+ # TODO: scopes should not be hardcoded (nor here nor in the FTrace object)
+ all_scopes = [cls.thermal_classes, cls.sched_classes,
+ cls.dynamic_classes]
+ known_events = ((n, c, sc) for sc in all_scopes for n, c in sc.items())
+
+ for name, obj, scope_classes in known_events:
+ if cobject == obj:
+ del scope_classes[name]
+
+ def __add_events(self, events):
+ """Add events to the class_definitions
+
+ If the events are known to trappy just add that class to the
+ class definitions list. Otherwise, register a class to parse
+ that event
+
+ """
+
+ from trappy.dynamic import DynamicTypeFactory, default_init
+ from trappy.base import Base
+
+ # TODO: scopes should not be hardcoded (nor here nor in the FTrace object)
+ all_scopes = [self.thermal_classes, self.sched_classes,
+ self.dynamic_classes]
+ known_events = {k: v for sc in all_scopes for k, v in sc.iteritems()}
+
+ for event_name in events:
+ for cls in known_events.itervalues():
+ if (event_name == cls.unique_word) or \
+ (event_name + ":" == cls.unique_word):
+ self.class_definitions[event_name] = cls
+ break
+ else:
+ kwords = {
+ "__init__": default_init,
+ "unique_word": event_name + ":",
+ "name": event_name,
+ }
+ trace_class = DynamicTypeFactory(event_name, (Base,), kwords)
+ self.class_definitions[event_name] = trace_class
+
+ def __populate_data(self, fin, cls_for_unique_word, window, abs_window):
+ """Append to trace data from a txt trace"""
+
+ def contains_unique_word(line, unique_words=cls_for_unique_word.keys()):
+ for unique_word in unique_words:
+ if unique_word in line:
+ return True
+ return False
+
+ special_fields_regexp = r"^\s*(?P<comm>.*)-(?P<pid>\d+)\s+\(?(?P<tgid>.*?)?\)"\
+ r"?\s*\[(?P<cpu>\d+)\](?:\s+....)?\s+(?P<timestamp>[0-9]+\.[0-9]+):"
+ special_fields_regexp = re.compile(special_fields_regexp)
+ start_match = re.compile(r"[A-Za-z0-9_]+=")
+
+ actual_trace = itertools.dropwhile(self.trace_hasnt_started(), fin)
+ actual_trace = itertools.takewhile(self.trace_hasnt_finished(),
+ actual_trace)
+
+ for line in itertools.ifilter(contains_unique_word, actual_trace):
+ for unique_word, cls in cls_for_unique_word.iteritems():
+ if unique_word in line:
+ trace_class = cls
+ break
+ else:
+ raise FTraceParseError("No unique word in '{}'".format(line))
+
+ line = line[:-1]
+
+ special_fields_match = special_fields_regexp.match(line)
+ if not special_fields_match:
+ raise FTraceParseError("Couldn't match special fields in '{}'".format(line))
+ comm = special_fields_match.group('comm')
+ pid = int(special_fields_match.group('pid'))
+ cpu = int(special_fields_match.group('cpu'))
+ tgid = special_fields_match.group('tgid')
+ if not tgid or tgid[0] == '-':
+ tgid = -1
+ else:
+ tgid = int(tgid)
+
+ timestamp = float(special_fields_match.group('timestamp'))
+
+ if not self.basetime:
+ self.basetime = timestamp
+
+ if (timestamp < window[0] + self.basetime) or \
+ (timestamp < abs_window[0]):
+ continue
+
+ if (window[1] and timestamp > window[1] + self.basetime) or \
+ (abs_window[1] and timestamp > abs_window[1]):
+ return
+
+ try:
+ data_start_idx = start_match.search(line).start()
+ except AttributeError:
+ continue
+
+ if self.normalized_time:
+ timestamp = timestamp - self.basetime
+
+ data_str = line[data_start_idx:]
+
+ # Remove empty arrays from the trace
+ data_str = re.sub(r"[A-Za-z0-9_]+=\{\} ", r"", data_str)
+
+ trace_class.append_data(timestamp, comm, pid, tgid, cpu, data_str)
+
+ def trace_hasnt_started(self):
+ """Return a function that accepts a line and returns true if this line
+is not part of the trace.
+
+ Subclasses of GenericFTrace may override this to skip the
+ beginning of a file that is not part of the trace. The first
+ time the returned function returns False it will be considered
+ the beginning of the trace and this function will never be
+ called again (because once it returns False, the trace has
+ started).
+
+ """
+ return lambda x: False
+
+ def trace_hasnt_finished(self):
+ """Return a function that accepts a line and returns true if this line
+is part of the trace.
+
+ This function is called with each line of the file *after*
+ trace_hasnt_started() returns True so the first line it sees
+ is part of the trace. The returned function should return
+ True as long as the line it receives is part of the trace. As
+ soon as this function returns False, the rest of the file will
+ be dropped. Subclasses of GenericFTrace may override this to
+ stop processing after the end of the trace is found to skip
+ parsing the end of the file if it contains anything other than
+ trace.
+
+ """
+ return lambda x: True
+
+ def __parse_trace_file(self, trace_file, window, abs_window, raw=False):
+ """parse the trace and create a pandas DataFrame"""
+
+ # Memoize the unique words to speed up parsing the trace file
+ cls_for_unique_word = {}
+ for trace_name in self.class_definitions.iterkeys():
+ trace_class = getattr(self, trace_name)
+
+ if self.needs_raw_parsing and (trace_class.parse_raw != raw):
+ continue
+
+ unique_word = trace_class.unique_word
+ cls_for_unique_word[unique_word] = trace_class
+
+ if len(cls_for_unique_word) == 0:
+ return
+
+ try:
+ with open(trace_file) as fin:
+ self.__populate_data(
+ fin, cls_for_unique_word, window, abs_window)
+ except FTraceParseError as e:
+ raise ValueError('Failed to parse ftrace file {}:\n{}'.format(
+ trace_file, str(e)))
+
+ # TODO: Move thermal specific functionality
+
+ def get_all_freqs_data(self, map_label):
+ """get an array of tuple of names and DataFrames suitable for the
+ allfreqs plot"""
+
+ cpu_in_freqs = self.cpu_in_power.get_all_freqs(map_label)
+ cpu_out_freqs = self.cpu_out_power.get_all_freqs(map_label)
+
+ ret = []
+ for label in map_label.values():
+ in_label = label + "_freq_in"
+ out_label = label + "_freq_out"
+
+ cpu_inout_freq_dict = {in_label: cpu_in_freqs[label],
+ out_label: cpu_out_freqs[label]}
+ dfr = pd.DataFrame(cpu_inout_freq_dict).fillna(method="pad")
+ ret.append((label, dfr))
+
+ try:
+ gpu_freq_in_data = self.devfreq_in_power.get_all_freqs()
+ gpu_freq_out_data = self.devfreq_out_power.get_all_freqs()
+ except KeyError:
+ gpu_freq_in_data = gpu_freq_out_data = None
+
+ if gpu_freq_in_data is not None:
+ inout_freq_dict = {"gpu_freq_in": gpu_freq_in_data["freq"],
+ "gpu_freq_out": gpu_freq_out_data["freq"]
+ }
+ dfr = pd.DataFrame(inout_freq_dict).fillna(method="pad")
+ ret.append(("GPU", dfr))
+
+ return ret
+
+ def plot_freq_hists(self, map_label, ax):
+ """Plot histograms for each actor input and output frequency
+
+ ax is an array of axis, one for the input power and one for
+ the output power
+
+ """
+
+ in_base_idx = len(ax) / 2
+
+ try:
+ devfreq_out_all_freqs = self.devfreq_out_power.get_all_freqs()
+ devfreq_in_all_freqs = self.devfreq_in_power.get_all_freqs()
+ except KeyError:
+ devfreq_out_all_freqs = None
+ devfreq_in_all_freqs = None
+
+ out_allfreqs = (self.cpu_out_power.get_all_freqs(map_label),
+ devfreq_out_all_freqs, ax[0:in_base_idx])
+ in_allfreqs = (self.cpu_in_power.get_all_freqs(map_label),
+ devfreq_in_all_freqs, ax[in_base_idx:])
+
+ for cpu_allfreqs, devfreq_freqs, axis in (out_allfreqs, in_allfreqs):
+ if devfreq_freqs is not None:
+ devfreq_freqs.name = "GPU"
+ allfreqs = pd.concat([cpu_allfreqs, devfreq_freqs], axis=1)
+ else:
+ allfreqs = cpu_allfreqs
+
+ allfreqs.fillna(method="pad", inplace=True)
+ _plot_freq_hists(allfreqs, "out", axis, self.name)
+
+ def plot_load(self, mapping_label, title="", width=None, height=None,
+ ax=None):
+ """plot the load of all the clusters, similar to how compare runs did it
+
+ the mapping_label has to be a dict whose keys are the cluster
+ numbers as found in the trace and values are the names that
+ will appear in the legend.
+
+ """
+ import trappy.plot_utils
+
+ load_data = self.cpu_in_power.get_load_data(mapping_label)
+ try:
+ gpu_data = pd.DataFrame({"GPU":
+ self.devfreq_in_power.data_frame["load"]})
+ load_data = pd.concat([load_data, gpu_data], axis=1)
+ except KeyError:
+ pass
+
+ load_data = load_data.fillna(method="pad")
+ title = trappy.plot_utils.normalize_title("Utilization", title)
+
+ if not ax:
+ ax = trappy.plot_utils.pre_plot_setup(width=width, height=height)
+
+ load_data.plot(ax=ax)
+
+ trappy.plot_utils.post_plot_setup(ax, title=title)
+
+ def plot_normalized_load(self, mapping_label, title="", width=None,
+ height=None, ax=None):
+ """plot the normalized load of all the clusters, similar to how compare runs did it
+
+ the mapping_label has to be a dict whose keys are the cluster
+ numbers as found in the trace and values are the names that
+ will appear in the legend.
+
+ """
+ import trappy.plot_utils
+
+ load_data = self.cpu_in_power.get_normalized_load_data(mapping_label)
+ if "load" in self.devfreq_in_power.data_frame:
+ gpu_dfr = self.devfreq_in_power.data_frame
+ gpu_max_freq = max(gpu_dfr["freq"])
+ gpu_load = gpu_dfr["load"] * gpu_dfr["freq"] / gpu_max_freq
+
+ gpu_data = pd.DataFrame({"GPU": gpu_load})
+ load_data = pd.concat([load_data, gpu_data], axis=1)
+
+ load_data = load_data.fillna(method="pad")
+ title = trappy.plot_utils.normalize_title("Normalized Utilization", title)
+
+ if not ax:
+ ax = trappy.plot_utils.pre_plot_setup(width=width, height=height)
+
+ load_data.plot(ax=ax)
+
+ trappy.plot_utils.post_plot_setup(ax, title=title)
+
+ def plot_allfreqs(self, map_label, width=None, height=None, ax=None):
+ """Do allfreqs plots similar to those of CompareRuns
+
+ if ax is not none, it must be an array of the same size as
+ map_label. Each plot will be done in each of the axis in
+ ax
+
+ """
+ import trappy.plot_utils
+
+ all_freqs = self.get_all_freqs_data(map_label)
+
+ setup_plot = False
+ if ax is None:
+ ax = [None] * len(all_freqs)
+ setup_plot = True
+
+ for this_ax, (label, dfr) in zip(ax, all_freqs):
+ this_title = trappy.plot_utils.normalize_title("allfreqs " + label,
+ self.name)
+
+ if setup_plot:
+ this_ax = trappy.plot_utils.pre_plot_setup(width=width,
+ height=height)
+
+ dfr.plot(ax=this_ax)
+ trappy.plot_utils.post_plot_setup(this_ax, title=this_title)
+
+class FTrace(GenericFTrace):
+ """A wrapper class that initializes all the classes of a given run
+
+ - The FTrace class can receive the following optional parameters.
+
+ :param path: Path contains the path to the trace file. If no path is given, it
+ uses the current directory by default. If path is a file, and ends in
+ .dat, it's run through "trace-cmd report". If it doesn't end in
+ ".dat", then it must be the output of a trace-cmd report run. If path
+ is a directory that contains a trace.txt, that is assumed to be the
+ output of "trace-cmd report". If path is a directory that doesn't
+ have a trace.txt but has a trace.dat, it runs trace-cmd report on the
+ trace.dat, saves it in trace.txt and then uses that.
+
+ :param name: is a string describing the trace.
+
+ :param normalize_time: is used to make all traces start from time 0 (the
+ default). If normalize_time is False, the trace times are the same as
+ in the trace file.
+
+ :param scope: can be used to limit the parsing done on the trace. The default
+ scope parses all the traces known to trappy. If scope is thermal, only
+ the thermal classes are parsed. If scope is sched, only the sched
+ classes are parsed.
+
+ :param events: A list of strings containing the name of the trace
+ events that you want to include in this FTrace object. The
+ string must correspond to the event name (what you would pass
+ to "trace-cmd -e", i.e. 4th field in trace.txt)
+
+ :param window: a tuple indicating a time window. The first
+ element in the tuple is the start timestamp and the second one
+ the end timestamp. Timestamps are relative to the first trace
+ event that's parsed. If you want to trace until the end of
+ the trace, set the second element to None. If you want to use
+ timestamps extracted from the trace file use "abs_window". The
+ window is inclusive: trace events exactly matching the start
+ or end timestamps will be included.
+
+ :param abs_window: a tuple indicating an absolute time window.
+ This parameter is similar to the "window" one but its values
+ represent timestamps that are not normalized, (i.e. the ones
+ you find in the trace file). The window is inclusive.
+
+
+ :type path: str
+ :type name: str
+ :type normalize_time: bool
+ :type scope: str
+ :type events: list
+ :type window: tuple
+ :type abs_window: tuple
+
+ This is a simple example:
+ ::
+
+ import trappy
+ trappy.FTrace("trace_dir")
+
+ """
+
+ def __init__(self, path=".", name="", normalize_time=True, scope="all",
+ events=[], event_callbacks={}, window=(0, None),
+ abs_window=(0, None), build_df=True):
+ self.trace_path, self.trace_path_raw = self.__process_path(path)
+ self.needs_raw_parsing = True
+
+ self.__populate_metadata()
+
+ super(FTrace, self).__init__(name, normalize_time, scope, events,
+ event_callbacks, window, abs_window,
+ build_df)
+
+ def __process_path(self, basepath):
+ """Process the path and return the path to the trace text file"""
+
+ if os.path.isfile(basepath):
+ trace_name = os.path.splitext(basepath)[0]
+ else:
+ trace_name = os.path.join(basepath, "trace")
+
+ trace_txt = trace_name + ".txt"
+ trace_raw = trace_name + ".raw.txt"
+ trace_dat = trace_name + ".dat"
+
+ if os.path.isfile(trace_dat):
+ # Both TXT and RAW traces must always be generated
+ if not os.path.isfile(trace_txt) or \
+ not os.path.isfile(trace_raw):
+ self.__run_trace_cmd_report(trace_dat)
+ # TXT (and RAW) traces must match the most recent binary trace
+ elif os.path.getmtime(trace_txt) < os.path.getmtime(trace_dat):
+ self.__run_trace_cmd_report(trace_dat)
+
+ if not os.path.isfile(trace_raw):
+ trace_raw = None
+
+ return trace_txt, trace_raw
+
+ def __run_trace_cmd_report(self, fname):
+ """Run "trace-cmd report fname > fname.txt"
+ and "trace-cmd report -R fname > fname.raw.txt"
+
+ The resulting traces are stored in files with extension ".txt"
+ and ".raw.txt" respectively. If fname is "my_trace.dat", the
+ trace is stored in "my_trace.txt" and "my_trace.raw.txt". The
+ contents of the destination files are overwritten if they
+ exist.
+
+ """
+ from subprocess import check_output
+
+ cmd = ["trace-cmd", "report"]
+
+ if not os.path.isfile(fname):
+ raise IOError("No such file or directory: {}".format(fname))
+
+ raw_trace_output = os.path.splitext(fname)[0] + ".raw.txt"
+ trace_output = os.path.splitext(fname)[0] + ".txt"
+ cmd.append(fname)
+
+ with open(os.devnull) as devnull:
+ try:
+ out = check_output(cmd, stderr=devnull)
+ except OSError as exc:
+ if exc.errno == 2 and not exc.filename:
+ raise OSError(2, "trace-cmd not found in PATH, is it installed?")
+ else:
+ raise
+
+ # Add the -R flag to the trace-cmd
+ # for raw parsing
+ cmd.insert(-1, "-R")
+ raw_out = check_output(cmd, stderr=devnull)
+
+ with open(trace_output, "w") as fout:
+ fout.write(out)
+
+ with open(raw_trace_output, "w") as fout:
+ fout.write(raw_out)
+
+ def __populate_metadata(self):
+ """Populates trace metadata"""
+
+ # Meta Data as expected to be found in the parsed trace header
+ metadata_keys = ["version", "cpus"]
+
+ for key in metadata_keys:
+ setattr(self, "_" + key, None)
+
+ with open(self.trace_path) as fin:
+ for line in fin:
+ if not metadata_keys:
+ return
+
+ metadata_pattern = r"^\b(" + "|".join(metadata_keys) + \
+ r")\b\s*=\s*([0-9]+)"
+ match = re.search(metadata_pattern, line)
+ if match:
+ setattr(self, "_" + match.group(1), match.group(2))
+ metadata_keys.remove(match.group(1))
+
+ if re.search(r"^\s+[^\[]+-\d+\s+\[\d+\]\s+\d+\.\d+:", line):
+ # Reached a valid trace line, abort metadata population
+ return
diff --git a/trappy/idle.py b/trappy/idle.py
new file mode 100644
index 0000000..a2c5069
--- /dev/null
+++ b/trappy/idle.py
@@ -0,0 +1,32 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+from trappy.base import Base
+from trappy.dynamic import register_ftrace_parser
+
+class CpuIdle(Base):
+ """Parse cpu_idle"""
+
+ unique_word = "cpu_idle"
+ pivot = "cpu_id"
+
+ def finalize_object(self):
+ # The trace contains "4294967295" instead of "-1" when exiting an idle
+ # state.
+ uint32_max = (2 ** 32) - 1
+ self.data_frame.replace(uint32_max, -1, inplace=True)
+ super(CpuIdle, self).finalize_object()
+
+register_ftrace_parser(CpuIdle)
diff --git a/trappy/nbexport/__init__.py b/trappy/nbexport/__init__.py
new file mode 100644
index 0000000..548ef6d
--- /dev/null
+++ b/trappy/nbexport/__init__.py
@@ -0,0 +1,25 @@
+# Copyright 2015-2017 ARM Limited
+# Copyright 2016 Google Inc. All Rights Reserved.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+"""HTML Exporter for TRAPPY plotter data. This allows
+* Custom Preprocessing
+"""
+
+try:
+ from trappy.nbexport.exporter import HTML
+except ImportError:
+ # Avoid testsuite errors when the testsuite is run in an environment without
+ # ipython
+ HTML = object
diff --git a/trappy/nbexport/exporter.py b/trappy/nbexport/exporter.py
new file mode 100644
index 0000000..c271fa8
--- /dev/null
+++ b/trappy/nbexport/exporter.py
@@ -0,0 +1,103 @@
+# Copyright 2015-2017 ARM Limited
+# Copyright 2016 Google Inc. All Rights Reserved.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+"""Preprocessor to remove Marked Lines from IPython Output Cells"""
+
+
+from nbconvert.exporters.html import HTMLExporter
+from nbconvert.preprocessors import Preprocessor
+import os
+import re
+
+REMOVE_START = '/* TRAPPY_PUBLISH_REMOVE_START */'
+REMOVE_STOP = '/* TRAPPY_PUBLISH_REMOVE_STOP */'
+REMOVE_LINE = '/* TRAPPY_PUBLISH_REMOVE_LINE */'
+IMPORT_SCRIPT = r'/\* TRAPPY_PUBLISH_IMPORT = "([^"]+)" \*/'
+SOURCE_LIB = r'<!-- TRAPPY_PUBLISH_SOURCE_LIB = "([^"]+)" -->'
+
+
+class HTML(HTMLExporter):
+ """HTML Exporter class for TRAPpy notebooks"""
+
+ def __init__(self, **kwargs):
+ super(HTML, self).__init__(**kwargs)
+ self.register_preprocessor(TrappyPlotterPreprocessor, enabled=True)
+
+
+class TrappyPlotterPreprocessor(Preprocessor):
+ """Preprocessor to remove Marked Lines from IPython Output Cells"""
+
+ def __init__(self, *args, **kwargs):
+ super(Preprocessor, self).__init__(*args, **kwargs)
+ self.inlined_files = []
+ self.sourced_libs = []
+
+ def preprocess_cell(self, cell, resources, cell_index):
+ """Check if cell has text/html output and filter it"""
+
+ if cell.cell_type == 'code' and hasattr(cell, "outputs"):
+ for output in cell.outputs:
+ if output.output_type == "display_data" and \
+ hasattr( output.data, "text/html"):
+ filtered = self.filter_output(output.data["text/html"])
+ output.data["text/html"] = filtered
+ return cell, resources
+
+ def filter_output(self, output):
+ """Function to remove marked lines"""
+
+ lines = output.split('\n')
+
+ final_lines = []
+ multi_line_remove = False
+ for line in lines:
+ if REMOVE_START in line:
+ multi_line_remove = True
+ continue
+ if REMOVE_STOP in line:
+ multi_line_remove = False
+ continue
+ if multi_line_remove or REMOVE_LINE in line:
+ continue
+
+ import_match = re.search(IMPORT_SCRIPT, line)
+ if import_match:
+ trappy_base = os.path.dirname(os.path.dirname(__file__))
+ import_file = os.path.join(trappy_base, import_match.group(1))
+ if import_file in self.inlined_files:
+ continue
+
+ with open(import_file) as fin:
+ final_lines.extend([l[:-1] for l in fin.readlines()])
+
+ self.inlined_files.append(import_file)
+ continue
+
+ source_match = re.search(SOURCE_LIB, line)
+ if source_match:
+ lib_url = source_match.group(1)
+ if lib_url in self.sourced_libs:
+ continue
+
+ scl = '<script src="{}" type="text/javascript" charset="utf-8"></script>'.\
+ format(lib_url)
+ final_lines.append(scl)
+
+ self.sourced_libs.append(lib_url)
+ continue
+
+ final_lines.append(line)
+
+ return '\n'.join(final_lines)
diff --git a/trappy/pid_controller.py b/trappy/pid_controller.py
new file mode 100644
index 0000000..16fe00b
--- /dev/null
+++ b/trappy/pid_controller.py
@@ -0,0 +1,60 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Process the output of the power allocator's PID controller in the
+current directory's trace.dat"""
+
+from trappy.base import Base
+from trappy.dynamic import register_ftrace_parser
+
+class PIDController(Base):
+ """Process the power allocator PID controller data in a FTrace dump"""
+
+ name = "pid_controller"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ pivot = "thermal_zone_id"
+ """The Pivot along which the data is orthogonal"""
+
+ unique_word="thermal_power_allocator_pid"
+ """The event name in the trace"""
+
+ def plot_controller(self, title="", width=None, height=None, ax=None):
+ """Plot a summary of the controller data
+
+ :param ax: Axis instance
+ :type ax: :mod:`matplotlib.Axis`
+
+ :param title: The title of the plot
+ :type title: str
+
+ :param width: The width of the plot
+ :type width: int
+
+ :param height: The height of the plot
+ :type int: int
+ """
+ import trappy.plot_utils
+
+ title = trappy.plot_utils.normalize_title("PID", title)
+
+ if not ax:
+ ax = trappy.plot_utils.pre_plot_setup(width, height)
+
+ self.data_frame[["output", "p", "i", "d"]].plot(ax=ax)
+ trappy.plot_utils.post_plot_setup(ax, title=title)
+
+register_ftrace_parser(PIDController, "thermal")
diff --git a/trappy/plot_utils.py b/trappy/plot_utils.py
new file mode 100644
index 0000000..412d554
--- /dev/null
+++ b/trappy/plot_utils.py
@@ -0,0 +1,322 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Small functions to help with plots"""
+
+# pylint disable=star-args
+
+from matplotlib import pyplot as plt
+import os
+import re
+
+from trappy.wa import SysfsExtractor
+
+GOLDEN_RATIO = 1.618034
+
+def normalize_title(title, opt_title):
+ """Return a string with that contains the title and opt_title if it's
+not the empty string
+
+ See test_normalize_title() for usage
+
+ """
+ if opt_title is not "":
+ title = opt_title + " - " + title
+
+ return title
+
+def set_lim(lim, get_lim_f, set_lim_f):
+ """Set x or y limitis of the plot
+
+ lim can be a tuple containing the limits or the string "default"
+ or "range". "default" does nothing and uses matplotlib default.
+ "range" extends the current margin by 10%. This is useful since
+ the default xlim and ylim of the plots sometimes make it harder to
+ see data that is just in the margin.
+
+ """
+ if lim == "default":
+ return
+
+ if lim == "range":
+ cur_lim = get_lim_f()
+ lim = (cur_lim[0] - 0.1 * (cur_lim[1] - cur_lim[0]),
+ cur_lim[1] + 0.1 * (cur_lim[1] - cur_lim[0]))
+
+ set_lim_f(lim[0], lim[1])
+
+def set_xlim(ax, xlim):
+ """Set the xlim of the plot
+
+ See set_lim() for the details
+ """
+ set_lim(xlim, ax.get_xlim, ax.set_xlim)
+
+def set_ylim(ax, ylim):
+ """Set the ylim of the plot
+
+ See set_lim() for the details
+ """
+ set_lim(ylim, ax.get_ylim, ax.set_ylim)
+
+def pre_plot_setup(width=None, height=None, ncols=1, nrows=1):
+ """initialize a figure
+
+ width and height are the height and width of each row of plots.
+ For 1x1 plots, that's the height and width of the plot. This
+ function should be called before any calls to plot()
+
+ """
+
+ if height is None:
+ if width is None:
+ height = 6
+ width = 10
+ else:
+ height = width / GOLDEN_RATIO
+ else:
+ if width is None:
+ width = height * GOLDEN_RATIO
+
+ height *= nrows
+
+ _, axis = plt.subplots(ncols=ncols, nrows=nrows, figsize=(width, height))
+
+ # Needed for multirow blots to not overlap with each other
+ plt.tight_layout(h_pad=3.5)
+
+ return axis
+
+def post_plot_setup(ax, title="", xlabel=None, ylabel=None, xlim="default",
+ ylim="range"):
+ """Set xlabel, ylabel title, xlim and ylim of the plot
+
+ This has to be called after calls to .plot(). The default ylim is
+ to extend it by 10% because matplotlib default makes it hard
+ values that are close to the margins
+
+ """
+
+ if xlabel is not None:
+ ax.set_xlabel(xlabel)
+
+ if ylabel is not None:
+ ax.set_ylabel(ylabel)
+
+ if title:
+ ax.set_title(title)
+
+ set_ylim(ax, ylim)
+ set_xlim(ax, xlim)
+
+def number_freq_plots(runs, map_label):
+ """Calculate the number of plots needed for allfreq plots and frequency
+ histogram plots
+
+ """
+ num_cpu_plots = len(map_label)
+
+ has_devfreq_data = False
+ for run in runs:
+ if len(run.devfreq_in_power.data_frame) > 0:
+ has_devfreq_data = True
+ break
+
+ num_freq_plots = num_cpu_plots
+ if has_devfreq_data:
+ num_freq_plots += 1
+
+ return num_freq_plots
+
+def plot_temperature(runs, width=None, height=None, ylim="range", tz_id=None):
+ """Plot temperatures
+
+ runs is an array of FTrace() instances. Extract the control_temp
+ from the governor data and plot the temperatures reported by the
+ thermal framework. The governor doesn't track temperature when
+ it's off, so the thermal framework trace is more reliable.
+
+ """
+
+ ax = pre_plot_setup(width, height)
+
+ for run in runs:
+ gov_dfr = run.thermal_governor.data_frame
+ if tz_id:
+ gov_dfr = gov_dfr[gov_dfr["thermal_zone_id"] == tz_id]
+
+ try:
+ current_temp = gov_dfr["current_temperature"]
+ delta_temp = gov_dfr["delta_temperature"]
+ control_series = (current_temp + delta_temp) / 1000
+ except KeyError:
+ control_series = None
+
+ try:
+ run.thermal.plot_temperature(control_temperature=control_series,
+ ax=ax, legend_label=run.name,
+ tz_id=tz_id)
+ except ValueError:
+ run.thermal_governor.plot_temperature(ax=ax, legend_label=run.name)
+
+ post_plot_setup(ax, title="Temperature", ylim=ylim)
+ plt.legend(loc="best")
+
+def plot_hist(data, ax, title, unit, bins, xlabel, xlim, ylim):
+ """Plot a histogram"""
+
+ mean = data.mean()
+ std = data.std()
+ title += " (mean = {:.2f}{}, std = {:.2f})".format(mean, unit, std)
+ xlabel += " ({})".format(unit)
+
+ data.hist(ax=ax, bins=bins)
+ post_plot_setup(ax, title=title, xlabel=xlabel, ylabel="count", xlim=xlim,
+ ylim=ylim)
+
+def plot_load(runs, map_label, width=None, height=None):
+ """Make a multiplot of all the loads"""
+ num_runs = len(runs)
+ axis = pre_plot_setup(width=width, height=height, ncols=num_runs, nrows=2)
+
+ if num_runs == 1:
+ axis = [axis]
+ else:
+ axis = zip(*axis)
+
+ for ax, run in zip(axis, runs):
+ run.plot_load(map_label, title=run.name, ax=ax[0])
+ run.plot_normalized_load(map_label, title=run.name, ax=ax[1])
+
+def plot_allfreqs(runs, map_label, width=None, height=None):
+ """Make a multicolumn plots of the allfreqs plots of each run"""
+ num_runs = len(runs)
+ nrows = number_freq_plots(runs, map_label)
+
+ axis = pre_plot_setup(width=width, height=height, nrows=nrows,
+ ncols=num_runs)
+
+ if num_runs == 1:
+ if nrows == 1:
+ axis = [[axis]]
+ else:
+ axis = [axis]
+ elif nrows == 1:
+ axis = [[ax] for ax in axis]
+ else:
+ axis = zip(*axis)
+
+ for ax, run in zip(axis, runs):
+ run.plot_allfreqs(map_label, ax=ax)
+
+def plot_controller(runs, width=None, height=None):
+ """Make a multicolumn plot of the pid controller of each run"""
+ num_runs = len(runs)
+ axis = pre_plot_setup(width=width, height=height, ncols=num_runs)
+
+ if num_runs == 1:
+ axis = [axis]
+
+ for ax, run in zip(axis, runs):
+ run.pid_controller.plot_controller(title=run.name, ax=ax)
+
+def plot_weighted_input_power(runs, actor_order, width=None, height=None):
+ """Make a multicolumn plot of the weighted input power of each run"""
+
+ actor_weights = []
+ for run in runs:
+ run_path = os.path.dirname(run.trace_path)
+ sysfs = SysfsExtractor(run_path)
+
+ thermal_params = sysfs.get_parameters()
+
+ sorted_weights = []
+ for param in sorted(thermal_params):
+ if re.match(r"cdev\d+_weight", param):
+ sorted_weights.append(thermal_params[param])
+
+ actor_weights.append(zip(actor_order, sorted_weights))
+
+ # Do nothing if we don't have actor weights for any run
+ if not any(actor_weights):
+ return
+
+ num_runs = len(runs)
+ axis = pre_plot_setup(width=width, height=height, ncols=num_runs)
+
+ if num_runs == 1:
+ axis = [axis]
+
+ for ax, run, weights in zip(axis, runs, actor_weights):
+ run.thermal_governor.plot_weighted_input_power(weights, title=run.name,
+ ax=ax)
+
+def plot_input_power(runs, actor_order, width=None, height=None):
+ """Make a multicolumn plot of the input power of each run"""
+ num_runs = len(runs)
+ axis = pre_plot_setup(width=width, height=height, ncols=num_runs)
+
+ if num_runs == 1:
+ axis = [axis]
+
+ for ax, run in zip(axis, runs):
+ run.thermal_governor.plot_input_power(actor_order, title=run.name,
+ ax=ax)
+
+ plot_weighted_input_power(runs, actor_order, width, height)
+
+def plot_output_power(runs, actor_order, width=None, height=None):
+ """Make a multicolumn plot of the output power of each run"""
+ num_runs = len(runs)
+ axis = pre_plot_setup(width=width, height=height, ncols=num_runs)
+
+ if num_runs == 1:
+ axis = [axis]
+
+ for ax, run in zip(axis, runs):
+ run.thermal_governor.plot_output_power(actor_order, title=run.name,
+ ax=ax)
+
+def plot_freq_hists(runs, map_label):
+ """Plot frequency histograms of multiple runs"""
+ num_runs = len(runs)
+ nrows = 2 * number_freq_plots(runs, map_label)
+ axis = pre_plot_setup(ncols=num_runs, nrows=nrows)
+
+ if num_runs == 1:
+ axis = [axis]
+ else:
+ axis = zip(*axis)
+
+ for ax, run in zip(axis, runs):
+ run.plot_freq_hists(map_label, ax=ax)
+
+def plot_temperature_hist(runs):
+ """Plot temperature histograms for all the runs"""
+ num_runs = 0
+ for run in runs:
+ if len(run.thermal.data_frame):
+ num_runs += 1
+
+ if num_runs == 0:
+ return
+
+ axis = pre_plot_setup(ncols=num_runs)
+
+ if num_runs == 1:
+ axis = [axis]
+
+ for ax, run in zip(axis, runs):
+ run.thermal.plot_temperature_hist(ax, run.name)
diff --git a/trappy/plotter/AbstractDataPlotter.py b/trappy/plotter/AbstractDataPlotter.py
new file mode 100644
index 0000000..254709c
--- /dev/null
+++ b/trappy/plotter/AbstractDataPlotter.py
@@ -0,0 +1,126 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""This is the template class that all Plotters inherit"""
+from abc import abstractmethod, ABCMeta
+from pandas import DataFrame
+import re
+from trappy.utils import listify
+from functools import reduce
+# pylint: disable=R0921
+# pylint: disable=R0903
+
+
+class AbstractDataPlotter(object):
+ """This is an abstract data plotting Class defining an interface
+ for the various Plotting Classes"""
+
+ __metaclass__ = ABCMeta
+
+ def __init__(self, traces=None, attr=None, templates=None):
+ self._event_map = {}
+ self._attr = attr if attr else {}
+ self.traces = traces
+ self.templates = templates
+
+ @abstractmethod
+ def view(self):
+ """View the graph"""
+ raise NotImplementedError("Method Not Implemented")
+
+ @abstractmethod
+ def savefig(self, path):
+ """Save the image as a file
+
+ :param path: Location of the Saved File
+ :type path: str
+ """
+ raise NotImplementedError("Method Not Implemented")
+
+ def _check_data(self):
+ """Internal function to check the received data"""
+
+ data = listify(self.traces)
+
+ if len(data):
+ mask = map(lambda x: isinstance(x, DataFrame), data)
+ data_frame = reduce(lambda x, y: x and y, mask)
+ sig_or_template = self.templates or "signals" in self._attr
+
+ if not data_frame and not sig_or_template:
+ raise ValueError(
+ "Cannot understand data. Accepted DataFormats are pandas.DataFrame or trappy.FTrace/BareTrace/SysTrace (with templates)")
+ elif data_frame and "column" not in self._attr:
+ raise ValueError("Column not specified for DataFrame input")
+ else:
+ raise ValueError("Empty Data received")
+
+ def _parse_value(self, signal_def):
+ """Parse a signal definition into a (template, column) tuple
+
+ :param signal_def: A signal definition. E.g. "trace_class:column"
+ :type signal_def: str
+ """
+
+ match = re.match(r"(?P<event>[^:]+):(?P<column>[^:]+)(?P<color>:.+)?",
+ signal_def)
+ if not match:
+ raise ValueError(
+ 'Invalid signal definition "{}". '
+ 'Should have the form "trace_class:column" '
+ 'e.g. "cpu_frequency:frequency"'.format(signal_def))
+ event = match.group("event")
+ column = match.group("column")
+ color_match = match.group("color")
+ if color_match:
+ color_list = color_match[1:].split(",", 2)
+ color = [int(n, 16) if n.startswith("0x") else int(n) for n in color_list]
+ else:
+ color = None
+
+ try:
+ return self._event_map[event], column, color
+ except KeyError:
+ for trace in listify(self.traces):
+
+ if event in trace.class_definitions:
+ self._event_map[event] = trace.class_definitions[event]
+ return self._event_map[event], column, color
+
+ raise ValueError(
+ "Event: " +
+ event +
+ " not found in Trace Object")
+
+ def _describe_signals(self):
+ """Internal Function for populating templates and columns
+ from signals
+ """
+
+ if "column" in self._attr or self.templates:
+ raise ValueError("column/templates specified with values")
+
+ self._attr["column"] = []
+ self.templates = []
+ colors = []
+
+ for value in listify(self._attr["signals"]):
+ template, column, color = self._parse_value(value)
+ self.templates.append(template)
+ self._attr["column"].append(column)
+ colors.append(color)
+
+ if any(colors):
+ self._attr["colors"] = colors
diff --git a/trappy/plotter/AttrConf.py b/trappy/plotter/AttrConf.py
new file mode 100644
index 0000000..3342939
--- /dev/null
+++ b/trappy/plotter/AttrConf.py
@@ -0,0 +1,129 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""These are the default plotting Attributes"""
+WIDTH = 7
+"""Default Width of a MatPlotlib Plot"""
+LENGTH = 7
+"""Default Length of a MatPlotlib Plot"""
+PER_LINE = 2
+"""Default Graphs per line"""
+CONCAT = False
+"""Default value for concat in :mod:`trappy.plotter.LinePlot`
+and :mod:`trappy.plotter.ILinePlot`
+"""
+PIVOT = "__TRAPPY_PIVOT_DEFAULT"
+"""Default pivot when None specified"""
+PIVOT_VAL = "__TRAPPY_DEFAULT_PIVOT_VAL"
+"""Default PivotValue for the default pivot"""
+XLIM = None
+"""Default value for xlimit"""
+YLIM = None
+"""Default value for ylim"""
+FILL = False
+"""Default value for "fill" in :mod:`trappy.plotter.LinePlot`
+and :mod:`trappy.plotter.ILinePlot`"""
+ALPHA = 0.75
+"""Default value for the alpha channel"""
+TITLE = None
+"""Default figure title (no title)"""
+TITLE_SIZE = 24
+"""Default size for the figure title"""
+LEGEND_NCOL = 3
+"""Default number of columns in the legend"""
+
+MPL_STYLE = {
+ 'axes.axisbelow': True,
+ 'axes.edgecolor': '#bcbcbc',
+ 'axes.facecolor': 'white',
+ 'axes.grid': True,
+ 'axes.labelcolor': '#555555',
+ 'axes.labelsize': 'large',
+ 'axes.linewidth': 1.0,
+ 'axes.titlesize': 'x-large',
+ 'figure.edgecolor': 'white',
+ 'figure.facecolor': 'white',
+ 'figure.figsize': (6.0, 4.0),
+ 'figure.subplot.hspace': 0.5,
+ 'font.size': 10,
+ 'interactive': True,
+ 'keymap.all_axes': ['a'],
+ 'keymap.back': ['left', 'c', 'backspace'],
+ 'keymap.forward': ['right', 'v'],
+ 'keymap.fullscreen': ['f'],
+ 'keymap.grid': ['g'],
+ 'keymap.home': ['h', 'r', 'home'],
+ 'keymap.pan': ['p'],
+ 'keymap.save': ['s'],
+ 'keymap.xscale': ['L', 'k'],
+ 'keymap.yscale': ['l'],
+ 'keymap.zoom': ['o'],
+ 'legend.fancybox': True,
+ 'lines.antialiased': True,
+ 'lines.linewidth': 1.0,
+ 'patch.antialiased': True,
+ 'patch.edgecolor': '#EEEEEE',
+ 'patch.facecolor': '#348ABD',
+ 'patch.linewidth': 0.5,
+ 'toolbar': 'toolbar2',
+ 'xtick.color': '#555555',
+ 'xtick.direction': 'in',
+ 'xtick.major.pad': 6.0,
+ 'xtick.major.size': 0.0,
+ 'xtick.minor.pad': 6.0,
+ 'xtick.minor.size': 0.0,
+ 'ytick.color': '#555555',
+ 'ytick.direction': 'in',
+ 'ytick.major.pad': 6.0,
+ 'ytick.major.size': 0.0,
+ 'ytick.minor.pad': 6.0,
+ 'ytick.minor.size': 0.0
+}
+
+from distutils.version import LooseVersion
+import matplotlib
+
+colors = ['#348ABD', '#7A68A6', '#A60628', '#467821', '#CF4457', '#188487',
+ '#E24A33']
+if LooseVersion(matplotlib.__version__) < LooseVersion("1.5.1"):
+ MPL_STYLE['axes.color_cycle'] = colors
+else:
+ MPL_STYLE['axes.prop_cycle'] = matplotlib.cycler("color", colors)
+
+ARGS_TO_FORWARD = [
+ "marker",
+ "markersize",
+ "markevery",
+ "linestyle",
+ "linewidth",
+ "drawstyle"]
+"""kwargs that will be forwarded to matplotlib API calls
+"""
+HTML_HEIGHT = 400
+"""Default height for HTML based plots"""
+DEFAULT_SYNC_ZOOM = False
+"""Sync Graph zoom by default in
+:mod:`trappy.plotter.ILinePlot` graph groups
+"""
+EVENT_PLOT_STRIDE = False
+"""Default value for stride which enables sampled
+EventPlots for :mod:`trappy.plotter.EventPlot`
+"""
+PLOT_SCATTER = False
+"""Default value for creating Scatter Plots"""
+POINT_SIZE = 2
+"""Default Point Size for plots (in pts)"""
+LINE_WIDTH = 1.0
+"""Default Line Width for plotter"""
diff --git a/trappy/plotter/BarPlot.py b/trappy/plotter/BarPlot.py
new file mode 100644
index 0000000..6c45104
--- /dev/null
+++ b/trappy/plotter/BarPlot.py
@@ -0,0 +1,120 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+"""
+This class sublclasses :mod:`trappy.plotter.StaticPlot.StaticPlot` to
+implement a bar plot.
+
+"""
+import numpy as np
+from trappy.plotter.StaticPlot import StaticPlot
+
+class BarPlot(StaticPlot):
+ """BarPlot can plot data as vertical bars
+
+ Values are plotted against their position in the list of data.
+
+ :param traces: The input data
+ :type traces: A single instance or a list of :mod:`trappy.trace.FTrace`,
+ :mod:`trappy.trace.SysTrace`, :mod:`trappy.trace.BareTrace` or
+ :mod:`pandas.DataFrame`.
+
+ :param column: specifies the name of the column to be plotted.
+ :type column: str or list(str)
+
+ :param templates: TRAPpy events
+
+ .. note::
+
+ This is not required if a :mod:`pandas.DataFrame` is
+ used
+
+ :type templates: :mod:`trappy.base.Base`
+
+ :param signals: A string of the type event_name:column
+ to indicate the value that needs to be plotted
+
+ .. note::
+
+ - Only one of `signals` or both `templates` and
+ `columns` should be specified
+ - Signals format won't work for :mod:`pandas.DataFrame`
+ input
+
+ :type signals: str or list(string)
+
+ :param title: A title describing the generated plots
+ :type title: str
+
+ :param stacked: The series are grouped by default. If you want a
+ stacked plot, set stacked to True.
+ :type stacked: bool
+
+ :param spacing: A proportion of the size of each group which
+ should be used as the spacing between the groups. e.g. 0.2
+ (default) means that 1/5 of the groups total width is used as
+ a spacing between groups.
+ :type spacing: float
+ """
+
+ def __init__(self, traces, templates=None, **kwargs):
+ # Default keys, each can be overridden in kwargs
+
+ super(BarPlot, self).__init__(
+ traces=traces,
+ templates=templates,
+ **kwargs)
+
+ def set_defaults(self):
+ """Sets the default attrs"""
+ super(BarPlot, self).set_defaults()
+ self._attr["spacing"] = 0.2
+ self._attr["stacked"] = False
+
+ def plot_axis(self, axis, series_list, permute, concat, args_to_forward):
+ """Internal Method called to plot data (series_list) on a given axis"""
+ stacked = self._attr["stacked"]
+ #Figure out how many bars per group
+ bars_in_group = 1 if stacked else len(series_list)
+
+ #Get the width of a group
+ group_width = 1.0 - self._attr["spacing"]
+ bar_width = group_width / bars_in_group
+
+ #Keep a list of the tops of bars to plot stacks
+ #Start with a list of 0s to put the first bars at the bottom
+ value_list = [c.result[p].values for (c, p) in series_list]
+ end_of_previous = [0] * max(len(x) for x in value_list)
+
+ for i, (constraint, pivot) in enumerate(series_list):
+ result = constraint.result
+ bar_anchor = np.arange(len(result[pivot].values))
+ if not stacked:
+ bar_anchor = bar_anchor + i * bar_width
+
+ line_2d_list = axis.bar(
+ bar_anchor,
+ result[pivot].values,
+ bottom=end_of_previous,
+ width=bar_width,
+ color=self._cmap.cmap(i),
+ **args_to_forward
+ )
+
+ if stacked:
+ end_of_previous = [x + y for (x, y) in zip(end_of_previous, result[pivot].values)]
+
+ axis.set_title(self.make_title(constraint, pivot, permute, concat))
+
+ self.add_to_legend(i, line_2d_list[0], constraint, pivot, concat, permute)
diff --git a/trappy/plotter/ColorMap.py b/trappy/plotter/ColorMap.py
new file mode 100644
index 0000000..bbd571c
--- /dev/null
+++ b/trappy/plotter/ColorMap.py
@@ -0,0 +1,80 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Defines a generic indexable ColorMap Class"""
+import matplotlib.colors as clrs
+import matplotlib.cm as cmx
+from matplotlib.colors import ListedColormap, Normalize
+
+
+def to_dygraph_colors(color_map):
+ """Convert a color_map specified as a list of rgb tuples to the
+ syntax that dygraphs expect: ["rgb(1, 2, 3)", "rgb(4, 5, 6)",...]
+
+ :param color_map: a list of rgb tuples
+ :type color_map: list of tuples
+ """
+
+ rgb_list = ["rgb(" + ", ".join(str(i) for i in e) + ")" for e in color_map]
+
+ return '["' + '", "'.join(rgb_list) + '"]'
+
+class ColorMap(object):
+
+ """The Color Map Class to return a gradient method
+
+ :param num_colors: Number or colors for which a gradient
+ is needed
+ :type num_colors: int
+ """
+
+ def __init__(self, num_colors, cmap='hsv'):
+ self.color_norm = clrs.Normalize(vmin=0, vmax=num_colors)
+ self.scalar_map = cmx.ScalarMappable(norm=self.color_norm, cmap=cmap)
+ self.num_colors = num_colors
+
+ def cmap(self, index):
+ """
+ :param index: Index for the gradient array
+ :type index: int
+
+ :return: The color at specified index
+ """
+ return self.scalar_map.to_rgba(index)
+
+ def cmap_inv(self, index):
+ """
+ :param index: Index for the gradient array
+ :type index: int
+
+ :return: The color at :math:`N_{colors} - i`
+ """
+ return self.cmap(self.num_colors - index)
+
+ @classmethod
+ def rgb_cmap(cls, rgb_list):
+ """Constructor for a ColorMap from an rgb_list
+
+ :param rgb_list: A list of rgb tuples for red, green and blue.
+ The rgb values should be in the range 0-255.
+ :type rgb_list: list of tuples
+ """
+
+ rgb_list = [[x / 255.0 for x in rgb[:3]] for rgb in rgb_list]
+
+ rgb_map = ListedColormap(rgb_list, name='default_color_map', N=None)
+ num_colors = len(rgb_list)
+
+ return cls(num_colors, cmap=rgb_map)
diff --git a/trappy/plotter/Constraint.py b/trappy/plotter/Constraint.py
new file mode 100644
index 0000000..1a5adc9
--- /dev/null
+++ b/trappy/plotter/Constraint.py
@@ -0,0 +1,386 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""This module provides the Constraint class for handling
+filters and pivots in a modular fashion. This enable easy
+constraint application.
+
+An implementation of :mod:`trappy.plotter.AbstractDataPlotter`
+is expected to use the :mod:`trappy.plotter.Constraint.ConstraintManager`
+class to pivot and filter data and handle multiple column,
+trace and event inputs.
+
+The underlying object that encapsulates a unique set of
+a data column, data event and the requisite filters is
+:mod:`trappy.plotter.Constraint.Constraint`
+"""
+# pylint: disable=R0913
+from trappy.plotter.Utils import decolonize, normalize_list
+from trappy.utils import listify
+from trappy.plotter import AttrConf
+
+
+class Constraint(object):
+
+ """
+ What is a Constraint?
+ It is collection of data based on two rules:
+
+ - A Pivot
+
+ - A Set of Filters
+
+ - A Data Column
+
+ For Example a :mod:`pandas.DataFrame`
+
+ ===== ======== =========
+ Time CPU Latency
+ ===== ======== =========
+ 1 x <val>
+ 2 y <val>
+ 3 z <val>
+ 4 a <val>
+ ===== ======== =========
+
+ The resultant data will be split for each unique pivot value
+ with the filters applied
+ ::
+
+ result["x"] = pd.Series.filtered()
+ result["y"] = pd.Series.filtered()
+ result["z"] = pd.Series.filtered()
+ result["a"] = pd.Series.filtered()
+
+
+ :param trappy_trace: Input Data
+ :type trappy_trace: :mod:`pandas.DataFrame` or a class derived from
+ :mod:`trappy.trace.BareTrace`
+
+ :param column: The data column
+ :type column: str
+
+ :param template: TRAPpy Event
+ :type template: :mod:`trappy.base.Base` event
+
+ :param trace_index: The index of the trace/data in the overall constraint
+ data
+ :type trace_index: int
+
+ :param filters: A dictionary of filter values
+ :type filters: dict
+
+ :param window: A time window to apply to the constraint.
+ E.g. window=(5, 20) will constraint to events that happened
+ between Time=5 to Time=20.
+ :type window: tuple of two ints
+
+ """
+
+ def __init__(self, trappy_trace, pivot, column, template, trace_index,
+ filters, window):
+ self._trappy_trace = trappy_trace
+ self._filters = filters
+ self._pivot = pivot
+ self.column = column
+ self._template = template
+ self._dup_resolved = False
+ self._data = self.populate_data_frame()
+
+ if window:
+ # We want to include the previous value before the window
+ # and the next after the window in the dataset
+ min_idx = self._data.loc[:window[0]].index.max()
+ max_idx = self._data.loc[window[1]:].index.min()
+ self._data = self._data.loc[min_idx:max_idx]
+
+ self.result = self._apply()
+ self.trace_index = trace_index
+
+ def _apply(self):
+ """This method applies the filter on the resultant data
+ on the input column.
+ """
+ data = self._data
+ result = {}
+
+ try:
+ values = data[self.column]
+ except KeyError:
+ return result
+
+ if self._pivot == AttrConf.PIVOT:
+ pivot_vals = [AttrConf.PIVOT_VAL]
+ else:
+ pivot_vals = self.pivot_vals(data)
+
+ for pivot_val in pivot_vals:
+ criterion = values.map(lambda x: True)
+
+ for key in self._filters.keys():
+ if key != self._pivot and key in data.columns:
+ criterion = criterion & data[key].map(
+ lambda x: x in self._filters[key])
+
+ if pivot_val != AttrConf.PIVOT_VAL:
+ criterion &= data[self._pivot] == pivot_val
+
+ val_series = values[criterion]
+ if len(val_series) != 0:
+ result[pivot_val] = val_series
+
+ return result
+
+ def _uses_trappy_trace(self):
+ if not self._template:
+ return False
+ else:
+ return True
+
+ def populate_data_frame(self):
+ """Return the populated :mod:`pandas.DataFrame`"""
+ if not self._uses_trappy_trace():
+ return self._trappy_trace
+
+ data_container = getattr(
+ self._trappy_trace,
+ decolonize(self._template.name))
+ return data_container.data_frame
+
+ def pivot_vals(self, data):
+ """This method returns the unique pivot values for the
+ Constraint's pivot and the column
+
+ :param data: Input Data
+ :type data: :mod:`pandas.DataFrame`
+ """
+ if self._pivot == AttrConf.PIVOT:
+ return AttrConf.PIVOT_VAL
+
+ if self._pivot not in data.columns:
+ return []
+
+ pivot_vals = set(data[self._pivot])
+ if self._pivot in self._filters:
+ pivot_vals = pivot_vals & set(self._filters[self._pivot])
+
+ return list(pivot_vals)
+
+ def __str__(self):
+
+ name = self.get_data_name()
+
+ if not self._uses_trappy_trace():
+ return name + ":" + str(self.column)
+
+ return name + ":" + \
+ self._template.name + ":" + self.column
+
+
+ def get_data_name(self):
+ """Get name for the data member. This method
+ relies on the "name" attribute for the name.
+ If the name attribute is absent, it associates
+ a numeric name to the respective data element
+
+ :returns: The name of the data member
+ """
+ if self._uses_trappy_trace():
+ if self._trappy_trace.name != "":
+ return self._trappy_trace.name
+ else:
+ return "Trace {}".format(self.trace_index)
+ else:
+ return "DataFrame {}".format(self.trace_index)
+
+class ConstraintManager(object):
+
+ """A class responsible for converting inputs
+ to constraints and also ensuring sanity
+
+
+ :param traces: Input Trace data
+ :type traces: :mod:`trappy.trace.BareTrace`, list(:mod:`trappy.trace.BareTrace`)
+ (or a class derived from :mod:`trappy.trace.BareTrace`)
+ :param columns: The column values from the corresponding
+ :mod:`pandas.DataFrame`
+ :type columns: str, list(str)
+ :param pivot: The column around which the data will be
+ pivoted:
+ :type pivot: str
+ :param templates: TRAPpy events
+ :type templates: :mod:`trappy.base.Base`
+ :param filters: A dictionary of values to be applied on the
+ respective columns
+ :type filters: dict
+ :param window: A time window to apply to the constraints
+ :type window: tuple of ints
+ :param zip_constraints: Permutes the columns and traces instead
+ of a one-to-one correspondence
+ :type zip_constraints: bool
+ """
+
+ def __init__(self, traces, columns, templates, pivot, filters,
+ window=None, zip_constraints=True):
+
+ self._ip_vec = []
+ self._ip_vec.append(listify(traces))
+ self._ip_vec.append(listify(columns))
+ self._ip_vec.append(listify(templates))
+
+ self._lens = map(len, self._ip_vec)
+ self._max_len = max(self._lens)
+ self._pivot = pivot
+ self._filters = filters
+ self.window = window
+ self._constraints = []
+
+ self._trace_expanded = False
+ self._expand()
+ if zip_constraints:
+ self._populate_zip_constraints()
+ else:
+ self._populate_constraints()
+
+ def _expand(self):
+ """This is really important. We need to
+ meet the following criteria for constraint
+ expansion:
+ ::
+
+ Len[traces] == Len[columns] == Len[templates]
+
+ Or:
+ ::
+
+ Permute(
+ Len[traces] = 1
+ Len[columns] = 1
+ Len[templates] != 1
+ )
+
+ Permute(
+ Len[traces] = 1
+ Len[columns] != 1
+ Len[templates] != 1
+ )
+ """
+ min_len = min(self._lens)
+ max_pos_comp = [
+ i for i,
+ j in enumerate(
+ self._lens) if j != self._max_len]
+
+ if self._max_len == 1 and min_len != 1:
+ raise RuntimeError("Essential Arg Missing")
+
+ if self._max_len > 1:
+
+ # Are they all equal?
+ if len(set(self._lens)) == 1:
+ return
+
+ if min_len > 1:
+ raise RuntimeError("Cannot Expand a list of Constraints")
+
+ for val in max_pos_comp:
+ if val == 0:
+ self._trace_expanded = True
+ self._ip_vec[val] = normalize_list(self._max_len,
+ self._ip_vec[val])
+
+ def _populate_constraints(self):
+ """Populate the constraints creating one for each column in
+ each trace
+
+ In a multi-trace, multicolumn scenario, constraints are created for
+ all the columns in each of the traces. _populate_constraints()
+ creates one constraint for the first trace and first column, the
+ next for the second trace and second column,... This function
+ creates a constraint for every combination of traces and columns
+ possible.
+ """
+
+ for trace_idx, trace in enumerate(self._ip_vec[0]):
+ for col in self._ip_vec[1]:
+ template = self._ip_vec[2][trace_idx]
+ constraint = Constraint(trace, self._pivot, col, template,
+ trace_idx, self._filters, self.window)
+ self._constraints.append(constraint)
+
+ def get_column_index(self, constraint):
+ return self._ip_vec[1].index(constraint.column)
+
+ def _populate_zip_constraints(self):
+ """Populate the expanded constraints
+
+ In a multitrace, multicolumn scenario, create constraints for
+ the first trace and the first column, second trace and second
+ column,... that is, as if you run zip(traces, columns)
+ """
+
+ for idx in range(self._max_len):
+ if self._trace_expanded:
+ trace_idx = 0
+ else:
+ trace_idx = idx
+
+ trace = self._ip_vec[0][idx]
+ col = self._ip_vec[1][idx]
+ template = self._ip_vec[2][idx]
+ self._constraints.append(
+ Constraint(trace, self._pivot, col, template, trace_idx,
+ self._filters, self.window))
+
+ def generate_pivots(self, permute=False):
+ """Return a union of the pivot values
+
+ :param permute: Permute the Traces and Columns
+ :type permute: bool
+ """
+ pivot_vals = []
+ for constraint in self._constraints:
+ pivot_vals += constraint.result.keys()
+
+ p_list = list(set(pivot_vals))
+ traces = range(self._lens[0])
+
+ try:
+ sorted_plist = sorted(p_list, key=int)
+ except (ValueError, TypeError):
+ try:
+ sorted_plist = sorted(p_list, key=lambda x: int(x, 16))
+ except (ValueError, TypeError):
+ sorted_plist = sorted(p_list)
+
+ if permute:
+ pivot_gen = ((trace_idx, pivot) for trace_idx in traces for pivot in sorted_plist)
+ return pivot_gen, len(sorted_plist) * self._lens[0]
+ else:
+ return sorted_plist, len(sorted_plist)
+
+ def constraint_labels(self):
+ """
+ :return: string to represent the
+ set of Constraints
+
+ """
+ return map(str, self._constraints)
+
+ def __len__(self):
+ return len(self._constraints)
+
+ def __iter__(self):
+ return iter(self._constraints)
diff --git a/trappy/plotter/EventPlot.py b/trappy/plotter/EventPlot.py
new file mode 100644
index 0000000..a360e60
--- /dev/null
+++ b/trappy/plotter/EventPlot.py
@@ -0,0 +1,282 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""
+The EventPlot is used to represent Events with two characteristics:
+
+ - A name, which determines the colour on the plot
+ - A lane, which determines the lane in which the event occurred
+
+In the case of a cpu residency plot, the term lane can be equated to
+a CPU and the name attribute can be the PID of the task
+"""
+
+from trappy.plotter import AttrConf
+import uuid
+import json
+import os
+from trappy.plotter.AbstractDataPlotter import AbstractDataPlotter
+from trappy.plotter import IPythonConf
+from collections import defaultdict
+from copy import deepcopy
+
+if not IPythonConf.check_ipython():
+ raise ImportError("Ipython Environment not Found")
+
+from IPython.display import display, HTML
+# pylint: disable=R0201
+# pylint: disable=R0921
+
+
+class EventPlot(AbstractDataPlotter):
+ """
+ Input Data should be of the format
+ ::
+
+ { "<name1>" : [
+ [event_start, event_end, lane],
+ .
+ .
+ [event_start, event_end, lane],
+ ],
+ .
+ .
+ .
+
+ "<nameN>" : [
+ [event_start, event_end, lane],
+ .
+ .
+ [event_start, event_end, lane],
+ ],
+ }
+
+ :param data: Input Data
+ :type data: dict
+
+ :param keys: List of unique names in the data dictionary
+ :type keys: list
+
+ :param domain: Domain of the event data
+ :type domain: tuple
+
+ :param lane_prefix: A string prefix to be used to name each lane
+ :type lane_prefix: str
+
+ :param num_lanes: Total number of expected lanes
+ :type num_lanes: int
+
+ :param summary: Show a mini plot below the main plot with an
+ overview of where your current view is with respect to the
+ whole trace
+ :type summary: bool
+
+ :param stride: Stride can be used if the trace is very large.
+ It results in sampled rendering
+ :type stride: bool
+
+ :param lanes: The sorted order of lanes
+ :type lanes: list
+
+ :param color_map: A mapping between events and colours
+ ::
+ { "<name1>" : "colour1",
+ .
+ .
+ .
+ "<nameN>" : "colourN"
+ }
+
+ Colour string can be:
+
+ - Colour names (supported colours are listed in
+ https://www.w3.org/TR/SVG/types.html#ColorKeywords)
+
+ - HEX representation of colour, like #FF0000 for "red", #008000 for
+ "green", #0000FF for "blue" and so on
+
+ :type color_map: dict
+ """
+
+ def __init__(
+ self,
+ data,
+ keys,
+ domain,
+ lane_prefix="Lane: ",
+ num_lanes=0,
+ summary=True,
+ stride=False,
+ lanes=None,
+ color_map=None):
+
+ _data = deepcopy(data)
+ self._html = []
+ self._fig_name = self._generate_fig_name()
+ # Function to get the average duration of each event
+ avgFunc = lambda x: sum([(evt[1] - evt[0]) for evt in x]) / float(len(x) + 1)
+ avg = {k: avgFunc(v) for k, v in data.iteritems()}
+ # Filter keys with zero average time
+ keys = filter(lambda x : avg[x] != 0, avg)
+ graph = {}
+ graph["lanes"] = self._get_lanes(lanes, lane_prefix, num_lanes, _data)
+ graph["xDomain"] = domain
+ graph["keys"] = sorted(keys, key=lambda x: avg[x], reverse=True)
+ graph["showSummary"] = summary
+ graph["stride"] = AttrConf.EVENT_PLOT_STRIDE
+ graph["colorMap"] = color_map
+ graph["data"] = self._group_data_by_lanes(_data)
+ self._data = json.dumps(graph)
+
+ # Initialize the HTML, CSS and JS Components
+ self._add_css()
+ self._init_html()
+
+ def _group_data_by_lanes(self, data):
+ """Group data by lanes.
+
+ This enables the Javascript code to handle the same event
+ occuring simultaneously in different lanes.
+ """
+ lane_data = {}
+ for key, value in data.items():
+ lane_data[key] = defaultdict(list)
+ for tsinfo in value:
+ lane_data[key][tsinfo[2]].append(tsinfo[:2])
+ return lane_data
+
+ def view(self):
+ """Views the Graph Object"""
+
+ # Defer installation of IPython components
+ # to the .view call to avoid any errors at
+ # when importing the module. This facilitates
+ # the importing of the module from outside
+ # an IPython notebook
+ IPythonConf.iplot_install("EventPlot")
+ display(HTML(self.html()))
+
+ def savefig(self, path):
+ """Save the plot in the provided path
+
+ .. warning:: Not Implemented for :mod:`trappy.plotter.EventPlot`
+ """
+
+ raise NotImplementedError(
+ "Save is not currently implemented for EventPlot")
+
+ def _get_lanes(self,
+ input_lanes,
+ lane_prefix,
+ num_lanes,
+ data):
+ """Populate the lanes for the plot"""
+
+ # If the user has specified lanes explicitly
+ lanes = []
+ if input_lanes:
+ lane_map = {}
+ for idx, lane in enumerate(input_lanes):
+ lane_map[lane] = idx
+
+ for name in data:
+ for event in data[name]:
+ lane = event[2]
+
+ try:
+ event[2] = lane_map[lane]
+ except KeyError:
+ raise RuntimeError("Invalid Lane %s" % lane)
+
+ for idx, lane in enumerate(input_lanes):
+ lanes.append({"id": idx, "label": lane})
+
+ else:
+
+ if not num_lanes:
+ raise RuntimeError("Either lanes or num_lanes must be specified")
+
+ for idx in range(num_lanes):
+ lanes.append({"id": idx, "label": "{}{}".format(lane_prefix, idx)})
+
+ return lanes
+
+ def _generate_fig_name(self):
+ """Generate a unqiue name for the figure"""
+
+ fig_name = "fig_" + uuid.uuid4().hex
+ return fig_name
+
+ def _init_html(self):
+ """Initialize HTML for the plot"""
+ div_js = ''
+ for url in [IPythonConf.D3_PLOTTER_URL, IPythonConf.D3_TIP_URL]:
+ div_js += '<!-- TRAPPY_PUBLISH_SOURCE_LIB = "{}" -->\n'.format(url)
+
+ div_js += """
+ <script>
+ /* TRAPPY_PUBLISH_IMPORT = "plotter/js/EventPlot.js" */
+ /* TRAPPY_PUBLISH_REMOVE_START */
+ var req = require.config( {
+
+ paths: {
+
+ "EventPlot": '""" + IPythonConf.add_web_base("plotter_scripts/EventPlot/EventPlot") + """',
+ "d3-tip": '""" + IPythonConf.add_web_base("plotter_scripts/EventPlot/d3.tip.v0.6.3") + """',
+ "d3-plotter": '""" + IPythonConf.add_web_base("plotter_scripts/EventPlot/d3.min") + """'
+ },
+ waitSeconds: 15,
+ shim: {
+ "d3-plotter" : {
+ "exports" : "d3"
+ },
+ "d3-tip": ["d3-plotter"],
+ "EventPlot": {
+
+ "deps": ["d3-tip", "d3-plotter" ],
+ "exports": "EventPlot"
+ }
+ }
+ });
+ /* TRAPPY_PUBLISH_REMOVE_STOP */
+ """
+
+ div_js += """
+ req(["require", "EventPlot"], function() { /* TRAPPY_PUBLISH_REMOVE_LINE */
+ EventPlot.generate('""" + self._fig_name + "', '" + IPythonConf.add_web_base("") + "', " + self._data + """);
+ }); /* TRAPPY_PUBLISH_REMOVE_LINE */
+ </script>
+ """
+
+ self._html.append(
+ '<div id="{}" class="eventplot">\n{}</div>'.format(self._fig_name,
+ div_js))
+
+ def _add_css(self):
+ """Append the CSS to the HTML code generated"""
+
+ base_dir = os.path.dirname(os.path.realpath(__file__))
+ css_file = os.path.join(base_dir, "css/EventPlot.css")
+ self._html.append("<style>")
+
+ with open(css_file, 'r') as css_fh:
+ self._html += [l[:-1] for l in css_fh.readlines()]
+
+ self._html.append("</style>")
+
+ def html(self):
+ """Return a Raw HTML string for the plot"""
+
+ return "\n".join(self._html)
diff --git a/trappy/plotter/ILinePlot.py b/trappy/plotter/ILinePlot.py
new file mode 100644
index 0000000..2bcd6aa
--- /dev/null
+++ b/trappy/plotter/ILinePlot.py
@@ -0,0 +1,314 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""This module contains the class for plotting and customizing
+Line/Linear Plots with :mod:`trappy.trace.BareTrace` or derived
+classes. This plot only works when run from an IPython notebook
+
+"""
+
+from collections import OrderedDict
+import matplotlib.pyplot as plt
+from trappy.plotter import AttrConf
+from trappy.plotter import Utils
+from trappy.plotter.Constraint import ConstraintManager
+from trappy.plotter.ILinePlotGen import ILinePlotGen
+from trappy.plotter.AbstractDataPlotter import AbstractDataPlotter
+from trappy.plotter.ColorMap import ColorMap
+from trappy.plotter import IPythonConf
+from trappy.utils import handle_duplicate_index
+import pandas as pd
+
+if not IPythonConf.check_ipython():
+ raise ImportError("Ipython Environment not Found")
+
+class ILinePlot(AbstractDataPlotter):
+ """
+ This class uses :mod:`trappy.plotter.Constraint.Constraint` to
+ represent different permutations of input parameters. These
+ constraints are generated by creating an instance of
+ :mod:`trappy.plotter.Constraint.ConstraintManager`.
+
+ :param traces: The input data
+ :type traces: a list of :mod:`trappy.trace.FTrace`,
+ :mod:`trappy.trace.SysTrace`, :mod:`trappy.trace.BareTrace`
+ or :mod:`pandas.DataFrame` or a single instance of them.
+
+ :param column: specifies the name of the column to
+ be plotted.
+ :type column: (str, list(str))
+
+ :param templates: TRAPpy events
+
+ .. note::
+
+ This is not required if a :mod:`pandas.DataFrame` is
+ used
+
+ :type templates: :mod:`trappy.base.Base`
+
+ :param filters: Filter the column to be plotted as per the
+ specified criteria. For Example:
+ ::
+
+ filters =
+ {
+ "pid": [ 3338 ],
+ "cpu": [0, 2, 4],
+ }
+ :type filters: dict
+
+ :param per_line: Used to control the number of graphs
+ in each graph subplot row
+ :type per_line: int
+
+ :param concat: Draw all the pivots on a single graph
+ :type concat: bool
+
+ :param permute: Draw one plot for each of the traces specified
+ :type permute: bool
+
+ :param fill: Fill the area under the plots
+ :type fill: bool
+
+ :param fill_alpha: Opacity of filled area under the plots.
+ Implies fill=True.
+ :type fill_alpha: float
+
+ :param xlim: A tuple representing the upper and lower xlimits
+ :type xlim: tuple
+
+ :param ylim: A tuple representing the upper and lower ylimits
+ :type ylim: tuple
+
+ :param drawstyle: Set the drawstyle to a matplotlib compatible
+ drawing style.
+
+ .. note::
+
+ Only "steps-post" is supported as a valid value for
+ the drawstyle. This creates a step plot.
+
+ :type drawstyle: str
+
+ :param sync_zoom: Synchronize the zoom of a group of plots.
+ Zooming in one plot of a group (see below) will zoom in every
+ plot of that group. Defaults to False.
+ :type sync_zoom: boolean
+
+ :param group: Name given to the plots created by this ILinePlot
+ instance. This name is only used for synchronized zoom. If
+ you zoom on any plot in a group all plots will zoom at the
+ same time.
+ :type group: string
+
+ :param signals: A string of the type event_name:column to indicate
+ the value that needs to be plotted. You can add an additional
+ parameter to specify the color of the lin in rgb:
+ "event_name:column:color". The color is specified as a comma
+ separated list of rgb values, from 0 to 255 or from 0x0 to
+ 0xff. E.g. 0xff,0x0,0x0 is red and 100,40,32 is brown.
+
+ .. note::
+
+ - Only one of `signals` or both `templates` and
+ `columns` should be specified
+ - Signals format won't work for :mod:`pandas.DataFrame`
+ input
+
+ :type signals: str
+ """
+
+ def __init__(self, traces, templates=None, **kwargs):
+ # Default keys, each can be overridden in kwargs
+ self._layout = None
+ super(ILinePlot, self).__init__(traces=traces,
+ templates=templates)
+
+ self.set_defaults()
+
+ for key in kwargs:
+ self._attr[key] = kwargs[key]
+
+ if "signals" in self._attr:
+ self._describe_signals()
+
+ self._check_data()
+
+ if "column" not in self._attr:
+ raise RuntimeError("Value Column not specified")
+
+ if self._attr["drawstyle"] and self._attr["drawstyle"].startswith("steps"):
+ self._attr["step_plot"] = True
+
+ zip_constraints = not self._attr["permute"]
+
+ window = self._attr["xlim"] if "xlim" in self._attr else None
+
+ self.c_mgr = ConstraintManager(traces, self._attr["column"], self.templates,
+ self._attr["pivot"],
+ self._attr["filters"],
+ window=window,
+ zip_constraints=zip_constraints)
+
+
+ def savefig(self, *args, **kwargs):
+ raise NotImplementedError("Not Available for ILinePlot")
+
+ def view(self, max_datapoints=75000, test=False):
+ """Displays the graph
+
+ :param max_datapoints: Maximum number of datapoints to plot.
+ Dygraph can make the browser unresponsive if it tries to plot
+ too many datapoints. Chrome 50 chokes at around 75000 on an
+ i7-4770 @ 3.4GHz, Firefox 47 can handle up to 200000 before
+ becoming too slow in the same machine. You can increase this
+ number if you know what you're doing and are happy to wait for
+ the plot to render. :type max_datapoints: int
+
+ :param test: For testing purposes. Only set to true if run
+ from the testsuite.
+ :type test: boolean
+ """
+
+ # Defer installation of IPython components
+ # to the .view call to avoid any errors at
+ # when importing the module. This facilitates
+ # the importing of the module from outside
+ # an IPython notebook
+ if not test:
+ IPythonConf.iplot_install("ILinePlot")
+
+ self._attr["max_datapoints"] = max_datapoints
+
+ if self._attr["concat"]:
+ self._plot_concat()
+ else:
+ self._plot(self._attr["permute"], test)
+
+ def set_defaults(self):
+ """Sets the default attrs"""
+ self._attr["per_line"] = AttrConf.PER_LINE
+ self._attr["concat"] = AttrConf.CONCAT
+ self._attr["filters"] = {}
+ self._attr["pivot"] = AttrConf.PIVOT
+ self._attr["permute"] = False
+ self._attr["drawstyle"] = None
+ self._attr["step_plot"] = False
+ self._attr["fill"] = AttrConf.FILL
+ self._attr["scatter"] = AttrConf.PLOT_SCATTER
+ self._attr["point_size"] = AttrConf.POINT_SIZE
+ self._attr["map_label"] = {}
+ self._attr["title"] = AttrConf.TITLE
+
+ def _plot(self, permute, test):
+ """Internal Method called to draw the plot"""
+ pivot_vals, len_pivots = self.c_mgr.generate_pivots(permute)
+
+ self._layout = ILinePlotGen(len_pivots, **self._attr)
+ plot_index = 0
+ for p_val in pivot_vals:
+ data_dict = OrderedDict()
+ for constraint in self.c_mgr:
+ if permute:
+ trace_idx, pivot = p_val
+ if constraint.trace_index != trace_idx:
+ continue
+ legend = constraint._template.name + ":" + constraint.column
+ else:
+ pivot = p_val
+ legend = str(constraint)
+
+ result = constraint.result
+ if pivot in result:
+ data_dict[legend] = result[pivot]
+
+ if permute:
+ title = self.traces[plot_index].name
+ elif pivot != AttrConf.PIVOT_VAL:
+ title = "{0}: {1}".format(self._attr["pivot"], self._attr["map_label"].get(pivot, pivot))
+ else:
+ title = ""
+
+ if len(data_dict) > 1:
+ data_frame = self._fix_indexes(data_dict)
+ else:
+ data_frame = pd.DataFrame(data_dict)
+
+ self._layout.add_plot(plot_index, data_frame, title, test=test)
+ plot_index += 1
+
+ self._layout.finish()
+
+ def _plot_concat(self):
+ """Plot all lines on a single figure"""
+
+ pivot_vals, _ = self.c_mgr.generate_pivots()
+ plot_index = 0
+
+ self._layout = ILinePlotGen(len(self.c_mgr), **self._attr)
+
+ for constraint in self.c_mgr:
+ result = constraint.result
+ title = str(constraint)
+ data_dict = OrderedDict()
+
+ for pivot in pivot_vals:
+ if pivot in result:
+ if pivot == AttrConf.PIVOT_VAL:
+ key = ",".join(self._attr["column"])
+ else:
+ key = "{0}: {1}".format(self._attr["pivot"], self._attr["map_label"].get(pivot, pivot))
+
+ data_dict[key] = result[pivot]
+
+ if len(data_dict) > 1:
+ data_frame = self._fix_indexes(data_dict)
+ else:
+ data_frame = pd.DataFrame(data_dict)
+
+ self._layout.add_plot(plot_index, data_frame, title)
+ plot_index += 1
+
+ self._layout.finish()
+
+ def _fix_indexes(self, data_dict):
+ """
+ In case of multiple traces with different indexes (i.e. x-axis values),
+ create new ones with same indexes
+ """
+ # 1) Check if we are processing multiple traces
+ if len(data_dict) <= 1:
+ raise ValueError("Cannot fix indexes for single trace. "\
+ "Expecting multiple traces!")
+
+ # 2) Merge the data frames to obtain common indexes
+ df_columns = list(data_dict.keys())
+ dedup_data = [handle_duplicate_index(s) for s in data_dict.values()]
+ ret = pd.Series(dedup_data, index=df_columns)
+ merged_df = pd.concat(ret.get_values(), axis=1)
+ merged_df.columns = df_columns
+ # 3) Fill NaN values depending on drawstyle
+ if self._attr["drawstyle"] == "steps-post":
+ merged_df = merged_df.ffill()
+ elif self._attr["drawstyle"] == "steps-pre":
+ merged_df = merged_df.bfill()
+ elif self._attr["drawstyle"] == "steps-mid":
+ merged_df = merged_df.ffill()
+ else:
+ # default
+ merged_df = merged_df.interpolate()
+
+ return merged_df
diff --git a/trappy/plotter/ILinePlotGen.py b/trappy/plotter/ILinePlotGen.py
new file mode 100644
index 0000000..a748e19
--- /dev/null
+++ b/trappy/plotter/ILinePlotGen.py
@@ -0,0 +1,273 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""This is helper module for :mod:`trappy.plotter.ILinePlot`
+for adding HTML and javascript necessary for interactive
+plotting. The Linear to 2-D co-ordination transformations
+are done by using the functionality in
+:mod:`trappy.plotter.PlotLayout`
+"""
+
+from trappy.plotter import AttrConf
+import uuid
+from collections import OrderedDict
+import json
+import os
+from trappy.plotter import IPythonConf
+from trappy.plotter.ColorMap import to_dygraph_colors
+
+
+if not IPythonConf.check_ipython():
+ raise ImportError("No Ipython Environment found")
+
+from IPython.display import display, HTML
+
+def df_to_dygraph(data_frame):
+ """Helper function to convert a :mod:`pandas.DataFrame` to
+ dygraph data
+
+ :param data_frame: The DataFrame to be converted
+ :type data_frame: :mod:`pandas.DataFrame`
+ """
+
+ values = data_frame.values.tolist()
+ data = [[x] for x in data_frame.index.tolist()]
+
+ for idx, (_, val) in enumerate(zip(data, values)):
+ data[idx] += val
+
+ return {
+ "data": data,
+ "labels": ["index"] + data_frame.columns.tolist(),
+ }
+
+class ILinePlotGen(object):
+ """
+ :param num_plots: The total number of plots
+ :type num_plots: int
+
+ The linear co-ordinate system :math:`[0, N_{plots}]` is
+ mapped to a 2-D coordinate system with :math:`N_{rows}`
+ and :math:`N_{cols}` such that:
+
+ .. math::
+
+ N_{rows} = \\frac{N_{cols}}{N_{plots}}
+ """
+
+ def _add_graph_cell(self, fig_name, color_map):
+ """Add a HTML table cell to hold the plot"""
+
+ colors_opt_arg = ", " + to_dygraph_colors(color_map) if color_map else ""
+
+ graph_js = ''
+ lib_urls = [IPythonConf.DYGRAPH_COMBINED_URL, IPythonConf.DYGRAPH_SYNC_URL,
+ IPythonConf.UNDERSCORE_URL]
+ for url in lib_urls:
+ graph_js += '<!-- TRAPPY_PUBLISH_SOURCE_LIB = "{}" -->\n'.format(url)
+
+ graph_js += """
+ <script>
+ /* TRAPPY_PUBLISH_IMPORT = "plotter/js/ILinePlot.js" */
+ /* TRAPPY_PUBLISH_REMOVE_START */
+ var ilp_req = require.config( {
+
+ paths: {
+ "dygraph-sync": '""" + IPythonConf.add_web_base("plotter_scripts/ILinePlot/synchronizer") + """',
+ "dygraph": '""" + IPythonConf.add_web_base("plotter_scripts/ILinePlot/dygraph-combined") + """',
+ "ILinePlot": '""" + IPythonConf.add_web_base("plotter_scripts/ILinePlot/ILinePlot") + """',
+ "underscore": '""" + IPythonConf.add_web_base("plotter_scripts/ILinePlot/underscore-min") + """',
+ },
+
+ shim: {
+ "dygraph-sync": ["dygraph"],
+ "ILinePlot": {
+
+ "deps": ["dygraph-sync", "dygraph", "underscore"],
+ "exports": "ILinePlot"
+ }
+ }
+ });
+ /* TRAPPY_PUBLISH_REMOVE_STOP */
+ ilp_req(["require", "ILinePlot"], function() { /* TRAPPY_PUBLISH_REMOVE_LINE */
+ ILinePlot.generate(""" + fig_name + "_data" + colors_opt_arg + """);
+ }); /* TRAPPY_PUBLISH_REMOVE_LINE */
+ </script>
+ """
+
+ cell = '<td style="border-style: hidden;"><div class="ilineplot" id="{}"></div></td>'.format(fig_name)
+
+ self._html.append(cell)
+ self._js.append(graph_js)
+
+ def _add_legend_cell(self, fig_name):
+ """Add HTML table cell for the legend"""
+
+ legend_div_name = fig_name + "_legend"
+ cell = '<td style="border-style: hidden;"><div style="text-align:center" id="{}"></div></td>'.format(legend_div_name)
+
+ self._html.append(cell)
+
+ def _begin_row(self):
+ """Add the opening tag for HTML row"""
+
+ self._html.append("<tr>")
+
+ def _end_row(self):
+ """Add the closing tag for the HTML row"""
+
+ self._html.append("</tr>")
+
+ def _end_table(self):
+ """Add the closing tag for the HTML table"""
+
+ self._html.append("</table>")
+
+ def _generate_fig_name(self):
+ """Generate a unique figure name"""
+
+ fig_name = "fig_" + uuid.uuid4().hex
+ self._fig_map[self._fig_index] = fig_name
+ self._fig_index += 1
+ return fig_name
+
+ def _init_html(self, color_map):
+ """Initialize HTML code for the plots"""
+
+ table = '<table style="border-style: hidden;">'
+ self._html.append(table)
+ if self._attr["title"]:
+ cell = '<caption style="text-align:center; font: 24px sans-serif bold; color: black">{}</caption>'.format(self._attr["title"])
+ self._html.append(cell)
+
+ for _ in range(self._rows):
+ self._begin_row()
+ legend_figs = []
+ for _ in range(self._attr["per_line"]):
+ fig_name = self._generate_fig_name()
+ legend_figs.append(fig_name)
+ self._add_graph_cell(fig_name, color_map)
+
+ self._end_row()
+ self._begin_row()
+
+ for l_fig in legend_figs:
+ self._add_legend_cell(l_fig)
+
+ self._end_row()
+
+ self._end_table()
+
+ def __init__(self, num_plots, **kwargs):
+
+ self._attr = kwargs
+ self._html = []
+ self._js = []
+ self._js_plot_data = []
+ self.num_plots = num_plots
+ self._fig_map = {}
+ self._fig_index = 0
+
+ self._single_plot = False
+ if self.num_plots == 0:
+ raise RuntimeError("No plots for the given constraints")
+
+ if self.num_plots < self._attr["per_line"]:
+ self._attr["per_line"] = self.num_plots
+ self._rows = (self.num_plots / self._attr["per_line"])
+
+ if self.num_plots % self._attr["per_line"] != 0:
+ self._rows += 1
+
+ self._attr["height"] = AttrConf.HTML_HEIGHT
+ self._init_html(kwargs.pop("colors", None))
+
+ def _check_add_scatter(self, fig_params):
+ """Check if a scatter plot is needed
+ and augment the fig_params accordingly"""
+
+ if self._attr["scatter"]:
+ fig_params["drawPoints"] = True
+ fig_params["strokeWidth"] = 0.0
+ else:
+ fig_params["drawPoints"] = False
+ fig_params["strokeWidth"] = AttrConf.LINE_WIDTH
+
+ fig_params["pointSize"] = self._attr["point_size"]
+
+ def add_plot(self, plot_num, data_frame, title="", test=False):
+ """Add a plot for the corresponding index
+
+ :param plot_num: The linear index of the plot
+ :type plot_num: int
+
+ :param data_frame: The data for the plot
+ :type data_frame: :mod:`pandas.DataFrame`
+
+ :param title: The title for the plot
+ :type title: str
+ """
+
+ datapoints = sum(len(v) for _, v in data_frame.iteritems())
+ if datapoints > self._attr["max_datapoints"]:
+ msg = "This plot is too big and will probably make your browser unresponsive. If you are happy to wait, pass max_datapoints={} to view()".\
+ format(datapoints + 1)
+ raise ValueError(msg)
+
+ fig_name = self._fig_map[plot_num]
+ fig_params = {}
+ fig_params["data"] = df_to_dygraph(data_frame)
+ fig_params["name"] = fig_name
+ fig_params["rangesel"] = False
+ fig_params["logscale"] = False
+ fig_params["title"] = title
+ fig_params["step_plot"] = self._attr["step_plot"]
+ fig_params["fill_graph"] = self._attr["fill"]
+ if "fill_alpha" in self._attr:
+ fig_params["fill_alpha"] = self._attr["fill_alpha"]
+ fig_params["fill_graph"] = True
+ fig_params["per_line"] = self._attr["per_line"]
+ fig_params["height"] = self._attr["height"]
+
+ self._check_add_scatter(fig_params)
+
+ if "group" in self._attr:
+ fig_params["syncGroup"] = self._attr["group"]
+ if "sync_zoom" in self._attr:
+ fig_params["syncZoom"] = self._attr["sync_zoom"]
+ else:
+ fig_params["syncZoom"] = AttrConf.DEFAULT_SYNC_ZOOM
+
+ if "ylim" in self._attr:
+ fig_params["valueRange"] = self._attr["ylim"]
+
+ if "xlim" in self._attr:
+ fig_params["dateWindow"] = self._attr["xlim"]
+
+ fig_data = "var {}_data = {};".format(fig_name, json.dumps(fig_params))
+
+ self._js_plot_data.append("<script>")
+ self._js_plot_data.append(fig_data)
+ self._js_plot_data.append("</script>")
+
+ def finish(self):
+ """Called when the Plotting is finished"""
+
+ display(HTML(self.html()))
+
+ def html(self):
+ """Return the raw HTML text"""
+
+ return "\n".join(self._html + self._js_plot_data + self._js)
diff --git a/trappy/plotter/IPythonConf.py b/trappy/plotter/IPythonConf.py
new file mode 100644
index 0000000..1cfb72f
--- /dev/null
+++ b/trappy/plotter/IPythonConf.py
@@ -0,0 +1,206 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""IPythonConf provides abstraction for the varying configurations in
+different versions of ipython/jupyter packages.
+"""
+import urllib
+import os
+import shutil
+from distutils.version import StrictVersion as V
+
+D3_PLOTTER_URL = "https://cdnjs.cloudflare.com/ajax/libs/d3/3.5.6/d3.min.js"
+D3_TIP_URL = "http://labratrevenge.com/d3-tip/javascripts/d3.tip.v0.6.3.js"
+DYGRAPH_COMBINED_URL = "http://cdnjs.cloudflare.com/ajax/libs/dygraph/1.1.1/dygraph-combined.js"
+DYGRAPH_SYNC_URL = "http://dygraphs.com/extras/synchronizer.js"
+UNDERSCORE_URL = "https://cdnjs.cloudflare.com/ajax/libs/underscore.js/1.8.3/underscore-min.js"
+
+IPLOT_RESOURCES = {
+ "ILinePlot": [
+ DYGRAPH_COMBINED_URL,
+ "js/ILinePlot.js",
+ DYGRAPH_SYNC_URL,
+ UNDERSCORE_URL],
+ "EventPlot": [
+ D3_PLOTTER_URL,
+ D3_TIP_URL,
+ "js/EventPlot.js",
+ "css/EventPlot_help.jpg"]}
+
+"""The location of the IPython webserver in IPython version 4.0+"""
+IPYTHON_V4_BASE = "/nbextensions"
+"""The webserver base directory for IPython version 4.0+"""
+IPYTHON_V3_BASE = "/static"
+"""The webserver base directory for IPython version < 4.0"""
+PLOTTER_SCRIPTS = "plotter_scripts"
+"""The installation directory of plotter JS files in the
+IPython webserver"""
+
+def install_http_resource(url, to_path):
+ """Install a HTTP Resource (eg. javascript) to
+ a destination on the disk
+
+ :param url: HTTP URL
+ :type url: str
+
+ :param to_path: Destination path on the disk
+ :type to_path: str
+ """
+ try:
+ urllib.urlretrieve(url, filename=to_path)
+ except IOError:
+ raise ImportError("Could not receive Web Resource {}"
+ .format(to_path))
+
+
+def install_local_resource(from_path, to_path):
+ """Move a local resource to the desired
+ a destination.
+
+ :param from_path: Path relative to this file
+ :type from_path: str
+
+ :param to_path: Destination path on the disk
+ :type to_path: str
+ """
+ base_dir = os.path.dirname(__file__)
+ from_path = os.path.join(base_dir, from_path)
+ shutil.copy(from_path, to_path)
+
+
+def install_resource(from_path, to_path):
+ """Install a resource to a location on the disk
+
+ :param from_path: URL or relative path
+ :type from_path: str
+
+ :param to_path: Destination path on the disk
+ :type to_path: str
+ """
+
+ if from_path.startswith("http"):
+ if not os.path.isfile(to_path):
+ install_http_resource(from_path, to_path)
+ else:
+ install_local_resource(from_path, to_path)
+
+
+def iplot_install(module_name):
+ """Install the resources for the module to the Ipython
+ profile directory
+
+ :param module_name: Name of the module
+ :type module_name: str
+
+ :return: A list than can be consumed by requirejs or
+ any relative resource dependency resolver
+ """
+
+ resources = IPLOT_RESOURCES[module_name]
+ for resource in resources:
+ resource_name = os.path.basename(resource)
+ resource_dest_dir = os.path.join(
+ get_scripts_path(),
+ module_name)
+
+ # Ensure if the directory exists
+ if not os.path.isdir(resource_dest_dir):
+ os.mkdir(resource_dest_dir)
+ resource_dest_path = os.path.join(resource_dest_dir, resource_name)
+ install_resource(resource, resource_dest_path)
+
+
+def get_ipython():
+ """Return an IPython instance. Returns None
+ if IPython is not installed"""
+
+ try:
+ import IPython
+ return IPython.get_ipython()
+ except ImportError:
+ return None
+
+def check_ipython():
+ """A boolean function to check if IPython
+ is available"""
+
+ try:
+ import IPython
+ except ImportError:
+ return False
+
+ return True
+
+def get_profile_name():
+ """Get the name of the profile of the current IPython
+ notebook. This is only relevant to V <= 4.0.0"""
+
+ ipy = get_ipython()
+ if not ipy:
+ raise ImportError("Cannot Find IPython Profile")
+
+ return ipy.profile
+
+def get_ipython_dir(profile=None):
+ """Returns the base directory of the IPython server
+
+ :param profile: The name of the IPython profile
+ :type profile: str
+ """
+
+ if not check_ipython():
+ raise ImportError("Cannot Find IPython Environment")
+
+ import IPython
+ # IPython 4.0+ changes the position of files in the profile
+ # directory
+ if V(IPython.__version__) >= V('4.0.0'):
+ from jupyter_core.paths import jupyter_data_dir
+ return os.path.join(
+ jupyter_data_dir(),
+ IPYTHON_V4_BASE.strip("/"))
+ else:
+ if not profile:
+ profile = get_profile_name()
+ return os.path.join(
+ IPython.utils.path.locate_profile(
+ profile),
+ IPYTHON_V3_BASE.strip("/"))
+
+def add_web_base(path):
+ """Add the base of the IPython dependency URLs
+
+ :param path: The path to be augmented with the
+ webserver base
+ :type path: str
+ """
+
+ import IPython
+ if V(IPython.__version__) >= V('4.0.0'):
+ return os.path.join(IPYTHON_V4_BASE, path)
+ else:
+ return os.path.join(IPYTHON_V3_BASE, path)
+
+def get_scripts_path(profile=None):
+ """Directory where plotter scripts are installed
+
+ :param profile: The name of the IPython profile
+ :type profile: str
+ """
+
+ dir_name = os.path.join(get_ipython_dir(profile), PLOTTER_SCRIPTS)
+ if not os.path.isdir(dir_name):
+ os.makedirs(dir_name)
+ return dir_name
diff --git a/trappy/plotter/LinePlot.py b/trappy/plotter/LinePlot.py
new file mode 100644
index 0000000..7f96594
--- /dev/null
+++ b/trappy/plotter/LinePlot.py
@@ -0,0 +1,172 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""
+This class sublclasses :mod:`trappy.plotter.StaticPlot.StaticPlot` to
+implement a line plot.
+"""
+
+from trappy.plotter import AttrConf
+from trappy.plotter.StaticPlot import StaticPlot
+
+class LinePlot(StaticPlot):
+ """
+ This class uses :mod:`trappy.plotter.Constraint.Constraint` to
+ represent different permutations of input parameters. These
+ constraints are generated by creating an instance of
+ :mod:`trappy.plotter.Constraint.ConstraintManager`.
+
+ :param traces: The input data
+ :type traces: a list of :mod:`trappy.trace.FTrace`,
+ :mod:`trappy.trace.SysTrace`, :mod:`trappy.trace.BareTrace`
+ or :mod:`pandas.DataFrame` or a single instance of them.
+
+ :param column: specifies the name of the column to
+ be plotted.
+ :type column: (str, list(str))
+
+ :param templates: TRAPpy events
+
+ .. note::
+
+ This is not required if a :mod:`pandas.DataFrame` is
+ used
+
+ :type templates: :mod:`trappy.base.Base`
+
+ :param filters: Filter the column to be plotted as per the
+ specified criteria. For Example:
+ ::
+
+ filters =
+ {
+ "pid": [ 3338 ],
+ "cpu": [0, 2, 4],
+ }
+ :type filters: dict
+
+ :param per_line: Used to control the number of graphs
+ in each graph subplot row
+ :type per_line: int
+
+ :param concat: Draw all the pivots on a single graph
+ :type concat: bool
+
+ :param fill: Fill the area under the plots
+ :type fill: bool
+
+ :param permute: Draw one plot for each of the traces specified
+ :type permute: bool
+
+ :param drawstyle: This argument is forwarded to the matplotlib
+ corresponding :func:`matplotlib.pyplot.plot` call
+
+ drawing style.
+
+ .. note::
+
+ step plots are not currently supported for filled
+ graphs
+
+ :param xlim: A tuple representing the upper and lower xlimits
+ :type xlim: tuple
+
+ :param ylim: A tuple representing the upper and lower ylimits
+ :type ylim: tuple
+
+ :param title: A title describing all the generated plots
+ :type title: str
+
+ :param style: Created pre-styled graphs loaded from
+ :mod:`trappy.plotter.AttrConf.MPL_STYLE`
+ :type style: bool
+
+ :param signals: A string of the type event_name:column to indicate
+ the value that needs to be plotted. You can add an additional
+ parameter to specify the color of the lin in rgb:
+ "event_name:column:color". The color is specified as a comma
+ separated list of rgb values, from 0 to 255 or from 0x0 to
+ 0xff. E.g. 0xff,0x0,0x0 is red and 100,40,32 is brown.
+
+ .. note::
+
+ - Only one of `signals` or both `templates` and
+ `columns` should be specified
+ - Signals format won't work for :mod:`pandas.DataFrame`
+ input
+
+ :type signals: str
+
+ """
+
+ def __init__(self, traces, templates=None, **kwargs):
+ # Default keys, each can be overridden in kwargs
+
+ super(LinePlot, self).__init__(
+ traces=traces,
+ templates=templates,
+ **kwargs)
+
+ self._check_add_scatter()
+
+ def set_defaults(self):
+ """Sets the default attrs"""
+ super(LinePlot, self).set_defaults()
+ self._attr["scatter"] = AttrConf.PLOT_SCATTER
+ self._attr["fill"] = AttrConf.FILL
+
+ def _check_add_scatter(self):
+ """Check if a scatter plot is needed
+ and augment the forwarded args accordingly"""
+
+ if self._attr["scatter"]:
+ self._attr["args_to_forward"]["linestyle"] = ""
+ self._attr["args_to_forward"]["marker"] = "o"
+ if "point_size" in self._attr:
+ self._attr["args_to_forward"]["markersize"] = \
+ self._attr["point_size"]
+
+ def fill_line(self, axis, line_2d, cmap_index):
+ """Fill the area under a line"""
+ drawstyle = line_2d.get_drawstyle()
+ if drawstyle.startswith("steps"):
+ # This has been fixed in upstream matplotlib
+ raise UserWarning("matplotlib does not support fill for step plots")
+
+ xdat, ydat = line_2d.get_data(orig=False)
+ axis.fill_between(
+ xdat,
+ axis.get_ylim()[0],
+ ydat,
+ facecolor=self._cmap.cmap(cmap_index),
+ alpha=AttrConf.ALPHA)
+
+ def plot_axis(self, axis, series_list, permute, concat, args_to_forward):
+ """Internal Method called to plot data (series_list) on a given axis"""
+ for i, (constraint, pivot) in enumerate(series_list):
+ result = constraint.result
+ line_2d_list = axis.plot(
+ result[pivot].index,
+ result[pivot].values,
+ color=self._cmap.cmap(i),
+ **args_to_forward
+ )
+
+ if self._attr["fill"]:
+ self.fill_line(axis, line_2d_list[0], i)
+
+ axis.set_title(self.make_title(constraint, pivot, permute, concat))
+
+ self.add_to_legend(i, line_2d_list[0], constraint, pivot, concat, permute)
diff --git a/trappy/plotter/PlotLayout.py b/trappy/plotter/PlotLayout.py
new file mode 100644
index 0000000..78bbda9
--- /dev/null
+++ b/trappy/plotter/PlotLayout.py
@@ -0,0 +1,153 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+"""This module implements functionality related to
+the arrangement of the plots on the underlying
+plotting backend.
+"""
+
+import matplotlib.pyplot as plt
+from trappy.plotter import AttrConf
+
+
+class PlotLayout(object):
+
+ """
+ :param cols: The number of columns to draw
+ :type cols: int
+
+ :param num_plots: The total number of plots
+ :type num_plots: int
+
+ The linear co-ordinate system :math:`[0, N_{plots}]` is
+ mapped to a 2-D coordinate system with math:`N_{rows}`
+ and :math:`N_{cols}` such that:
+
+ .. math::
+
+ N_{rows} = \\frac{N_{cols}}{N_{plots}}
+ """
+
+ def __init__(self, cols, num_plots, **kwargs):
+
+ self.cols = cols
+ self._attr = {}
+ self.num_plots = num_plots
+ self._single_plot = False
+ if self.num_plots == 0:
+ raise RuntimeError("No plots for the given constraints")
+
+ if self.num_plots < self.cols:
+ self.cols = self.num_plots
+ self.rows = (self.num_plots / self.cols)
+ # Avoid Extra Allocation (shows up in savefig!)
+ if self.num_plots % self.cols != 0:
+ self.rows += 1
+
+ self.usecol = False
+ self.userow = False
+ self._set_defaults()
+
+ for key in kwargs:
+ self._attr[key] = kwargs[key]
+
+ # Scale the plots if there is a single plot and
+ # Set boolean variables
+ if num_plots == 1:
+ self._single_plot = True
+ self._scale_plot()
+ elif self.rows == 1:
+ self.usecol = True
+ elif self.cols == 1:
+ self.userow = True
+ self._scale_plot()
+
+ self._attr["figure"], self._attr["axes"] = plt.subplots(
+ self.rows, self.cols, figsize=(
+ self._attr["width"] * self.cols,
+ self._attr["length"] * self.rows))
+
+ if self._attr['title']:
+ self._attr["figure"].suptitle(
+ self._attr['title'],
+ fontsize=AttrConf.TITLE_SIZE,
+ horizontalalignment='center')
+
+ def _scale_plot(self):
+ """Scale the graph in one
+ plot per line use case"""
+
+ self._attr["width"] = int(self._attr["width"] * 2.5)
+ self._attr["length"] = int(self._attr["length"] * 1.25)
+
+ def _set_defaults(self):
+ """set the default attrs"""
+ self._attr["width"] = AttrConf.WIDTH
+ self._attr["length"] = AttrConf.LENGTH
+
+ def get_2d(self, linear_val):
+ """Convert Linear to 2D coordinates
+
+ :param linear_val: The value in 1-D
+ co-ordinate
+ :type linear_val: int
+
+ :return: Converted 2-D tuple
+ """
+ if self.usecol:
+ return linear_val % self.cols
+
+ if self.userow:
+ return linear_val % self.rows
+
+ val_x = linear_val % self.cols
+ val_y = linear_val / self.cols
+ return val_y, val_x
+
+ def finish(self, plot_index):
+ """Delete the empty cells
+
+ :param plot_index: Linear index at which the
+ last plot was created. This is used to
+ delete the leftover empty plots that
+ were generated.
+ :type plot_index: int
+ """
+ while plot_index < (self.rows * self.cols):
+ self._attr["figure"].delaxes(
+ self._attr["axes"][
+ self.get_2d(plot_index)])
+ plot_index += 1
+
+ def get_axis(self, plot_index):
+ """Get the axes for the plots
+
+ :param plot_index: The index for
+ which the axis is required. This
+ internally is mapped to a 2-D co-ordinate
+
+ :return: :mod:`matplotlib.axes.Axes`
+ instance is returned
+ """
+ if self._single_plot:
+ return self._attr["axes"]
+ else:
+ return self._attr["axes"][self.get_2d(plot_index)]
+
+ def get_fig(self):
+ """Return the matplotlib figure object
+
+ :return: :mod:`matplotlib.figure`
+ """
+ return self._attr["figure"]
diff --git a/trappy/plotter/StaticPlot.py b/trappy/plotter/StaticPlot.py
new file mode 100644
index 0000000..9b58108
--- /dev/null
+++ b/trappy/plotter/StaticPlot.py
@@ -0,0 +1,289 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+"""Base matplotlib plotter module"""
+from abc import abstractmethod, ABCMeta
+from collections import defaultdict as ddict
+import matplotlib.pyplot as plt
+from trappy.plotter import AttrConf
+from trappy.plotter.Constraint import ConstraintManager
+from trappy.plotter.PlotLayout import PlotLayout
+from trappy.plotter.AbstractDataPlotter import AbstractDataPlotter
+from trappy.plotter.ColorMap import ColorMap
+
+
+
+class StaticPlot(AbstractDataPlotter):
+ """
+ This class uses :mod:`trappy.plotter.Constraint.Constraint` to
+ represent different permutations of input parameters. These
+ constraints are generated by creating an instance of
+ :mod:`trappy.plotter.Constraint.ConstraintManager`.
+
+ :param traces: The input data
+ :type traces: a list of :mod:`trappy.trace.FTrace`,
+ :mod:`trappy.trace.SysTrace`, :mod:`trappy.trace.BareTrace`
+ or :mod:`pandas.DataFrame` or a single instance of them.
+
+ :param column: specifies the name of the column to
+ be plotted.
+ :type column: (str, list(str))
+
+ :param templates: TRAPpy events
+
+ .. note::
+
+ This is not required if a :mod:`pandas.DataFrame` is
+ used
+
+ :type templates: :mod:`trappy.base.Base`
+
+ :param filters: Filter the column to be plotted as per the
+ specified criteria. For Example:
+ ::
+
+ filters =
+ {
+ "pid": [ 3338 ],
+ "cpu": [0, 2, 4],
+ }
+ :type filters: dict
+
+ :param per_line: Used to control the number of graphs
+ in each graph subplot row
+ :type per_line: int
+
+ :param concat: Draw all the pivots on a single graph
+ :type concat: bool
+
+ :param permute: Draw one plot for each of the traces specified
+ :type permute: bool
+
+ :param drawstyle: This argument is forwarded to the matplotlib
+ corresponding :func:`matplotlib.pyplot.plot` call
+
+ drawing style.
+
+ .. note::
+
+ step plots are not currently supported for filled
+ graphs
+
+ :param xlim: A tuple representing the upper and lower xlimits
+ :type xlim: tuple
+
+ :param ylim: A tuple representing the upper and lower ylimits
+ :type ylim: tuple
+
+ :param title: A title describing all the generated plots
+ :type title: str
+
+ :param style: Created pre-styled graphs loaded from
+ :mod:`trappy.plotter.AttrConf.MPL_STYLE`
+ :type style: bool
+
+ :param signals: A string of the type event_name:column
+ to indicate the value that needs to be plotted
+
+ .. note::
+
+ - Only one of `signals` or both `templates` and
+ `columns` should be specified
+ - Signals format won't work for :mod:`pandas.DataFrame`
+ input
+
+ :type signals: str
+
+ :param legend_ncol: A positive integer that represents the
+ number of columns in the legend
+ :type legend_ncol: int
+ """
+ __metaclass__ = ABCMeta
+
+ def __init__(self, traces, templates, **kwargs):
+ self._fig = None
+ self._layout = None
+ super(StaticPlot, self).__init__(traces=traces,
+ templates=templates)
+
+ self.set_defaults()
+
+ for key in kwargs:
+ if key in AttrConf.ARGS_TO_FORWARD:
+ self._attr["args_to_forward"][key] = kwargs[key]
+ else:
+ self._attr[key] = kwargs[key]
+
+ if "signals" in self._attr:
+ self._describe_signals()
+
+ self._check_data()
+
+ if "column" not in self._attr:
+ raise RuntimeError("Value Column not specified")
+
+ zip_constraints = not self._attr["permute"]
+ self.c_mgr = ConstraintManager(traces, self._attr["column"],
+ self.templates, self._attr["pivot"],
+ self._attr["filters"],
+ zip_constraints=zip_constraints)
+
+ def savefig(self, *args, **kwargs):
+ """Save the plot as a PNG fill. This calls into
+ :mod:`matplotlib.figure.savefig`
+ """
+
+ if self._fig is None:
+ self.view()
+ self._fig.savefig(*args, **kwargs)
+
+ @abstractmethod
+ def set_defaults(self):
+ """Sets the default attrs"""
+ self._attr["width"] = AttrConf.WIDTH
+ self._attr["length"] = AttrConf.LENGTH
+ self._attr["per_line"] = AttrConf.PER_LINE
+ self._attr["concat"] = AttrConf.CONCAT
+ self._attr["filters"] = {}
+ self._attr["style"] = True
+ self._attr["permute"] = False
+ self._attr["pivot"] = AttrConf.PIVOT
+ self._attr["xlim"] = AttrConf.XLIM
+ self._attr["ylim"] = AttrConf.YLIM
+ self._attr["title"] = AttrConf.TITLE
+ self._attr["args_to_forward"] = {}
+ self._attr["map_label"] = {}
+ self._attr["_legend_handles"] = []
+ self._attr["_legend_labels"] = []
+ self._attr["legend_ncol"] = AttrConf.LEGEND_NCOL
+
+ def view(self, test=False):
+ """Displays the graph"""
+
+ if test:
+ self._attr["style"] = True
+ AttrConf.MPL_STYLE["interactive"] = False
+
+ permute = self._attr["permute"] and not self._attr["concat"]
+ if self._attr["style"]:
+ with plt.rc_context(AttrConf.MPL_STYLE):
+ self._resolve(permute, self._attr["concat"])
+ else:
+ self._resolve(permute, self._attr["concat"])
+
+ def make_title(self, constraint, pivot, permute, concat):
+ """Generates a title string for an axis"""
+ if concat:
+ return str(constraint)
+
+ if permute:
+ return constraint.get_data_name()
+ elif pivot != AttrConf.PIVOT_VAL:
+ return "{0}: {1}".format(self._attr["pivot"], self._attr["map_label"].get(pivot, pivot))
+ else:
+ return ""
+
+ def add_to_legend(self, series_index, handle, constraint, pivot, concat, permute):
+ """
+ Add series handles and names to the legend
+ A handle is returned from a plot on an axis
+ e.g. Line2D from axis.plot()
+ """
+ self._attr["_legend_handles"][series_index] = handle
+ legend_labels = self._attr["_legend_labels"]
+
+ if concat and pivot == AttrConf.PIVOT_VAL:
+ legend_labels[series_index] = self._attr["column"]
+ elif concat:
+ legend_labels[series_index] = "{0}: {1}".format(
+ self._attr["pivot"],
+ self._attr["map_label"].get(pivot, pivot)
+ )
+ elif permute:
+ legend_labels[series_index] = constraint._template.name + ":" + constraint.column
+ else:
+ legend_labels[series_index] = str(constraint)
+
+ def _resolve(self, permute, concat):
+ """Determine what data to plot on which axis"""
+ pivot_vals, len_pivots = self.c_mgr.generate_pivots(permute)
+ pivot_vals = list(pivot_vals)
+
+ num_of_axes = len(self.c_mgr) if concat else len_pivots
+
+ # Create a 2D Layout
+ self._layout = PlotLayout(
+ self._attr["per_line"],
+ num_of_axes,
+ width=self._attr["width"],
+ length=self._attr["length"],
+ title=self._attr['title'])
+
+ self._fig = self._layout.get_fig()
+
+ # Determine what constraint to plot and the corresponding pivot value
+ if permute:
+ legend_len = self.c_mgr._max_len
+ pivots = [y for _, y in pivot_vals]
+ c_dict = {c : str(c) for c in self.c_mgr}
+ c_list = sorted(c_dict.items(), key=lambda x: (x[1].split(":")[-1], x[1].split(":")[0]))
+ constraints = [c[0] for c in c_list]
+ cp_pairs = [(c, p) for c in constraints for p in sorted(set(pivots))]
+ else:
+ legend_len = len_pivots if concat else len(self.c_mgr)
+ pivots = pivot_vals
+ cp_pairs = [(c, p) for c in self.c_mgr for p in pivots if p in c.result]
+
+ # Initialise legend data and colormap
+ self._attr["_legend_handles"] = [None] * legend_len
+ self._attr["_legend_labels"] = [None] * legend_len
+
+ if "colors" in self._attr:
+ self._cmap = ColorMap.rgb_cmap(self._attr["colors"])
+ else:
+ self._cmap = ColorMap(legend_len)
+
+ # Group constraints/series with the axis they are to be plotted on
+ figure_data = ddict(list)
+ for i, (constraint, pivot) in enumerate(cp_pairs):
+ axis = self._layout.get_axis(constraint.trace_index if concat else i)
+ figure_data[axis].append((constraint, pivot))
+
+ # Plot each axis
+ for axis, series_list in figure_data.iteritems():
+ self.plot_axis(
+ axis,
+ series_list,
+ permute,
+ self._attr["concat"],
+ self._attr["args_to_forward"]
+ )
+ if self._attr["xlim"]:
+ axis.set_xlim(self._attr["xlim"])
+ if self._attr["ylim"]:
+ axis.set_ylim(self._attr["ylim"])
+
+ # Show legend
+ legend = self._fig.legend(self._attr["_legend_handles"],
+ self._attr["_legend_labels"],
+ loc='lower center',
+ ncol=self._attr["legend_ncol"],
+ borderaxespad=0.)
+ legend.get_frame().set_facecolor('#F4F4F4')
+
+ self._layout.finish(num_of_axes)
+
+ def plot_axis(self, axis, series_list, permute, concat, args_to_forward):
+ """Internal Method called to plot data (series_list) on a given axis"""
+ raise NotImplementedError("Method Not Implemented")
diff --git a/trappy/plotter/Utils.py b/trappy/plotter/Utils.py
new file mode 100644
index 0000000..2a47580
--- /dev/null
+++ b/trappy/plotter/Utils.py
@@ -0,0 +1,97 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Utils module has generic utils that will be used across
+objects
+"""
+import collections
+import warnings
+from trappy.utils import listify
+
+
+def normalize_list(val, lst):
+ """Normalize a unitary list"""
+
+ if len(lst) != 1:
+ raise RuntimeError("Cannot Normalize a non-unitary list")
+
+ return lst * val
+
+
+def decolonize(val):
+ """Remove the colon at the end of the word
+ This will be used by the unique word of
+ template class to sanitize attr accesses
+ """
+
+ return val.strip(":")
+
+
+def get_trace_event_data(trace, execnames=None, pids=None):
+ """Create a list of objects that can be consumed by EventPlot to plot
+ task residency like kernelshark
+
+ """
+
+ if execnames:
+ execnames = listify(execnames)
+
+ if pids:
+ pids = listify(pids)
+
+ data = collections.defaultdict(list)
+ pmap = {}
+
+ data_frame = trace.sched_switch.data_frame
+ start_idx = data_frame.index.values[0]
+ end_idx = data_frame.index.values[-1]
+
+ procs = set()
+
+ for index, row in data_frame.iterrows():
+ prev_pid = row["prev_pid"]
+ next_pid = row["next_pid"]
+ next_comm = row["next_comm"]
+
+ if prev_pid in pmap:
+ name = pmap[prev_pid]
+ data[name][-1][1] = index
+ del pmap[prev_pid]
+
+ name = "{}-{}".format(next_comm, next_pid)
+
+ if next_pid in pmap:
+ # Corrupted trace probably due to dropped events. We
+ # don't know when the pid in pmap finished. We just
+ # ignore it and don't plot it
+ warn_str = "Corrupted trace (dropped events) for PID {} at time {}". \
+ format(next_pid, index)
+ warnings.warn(warn_str)
+ del pmap[next_pid]
+ del data[name][-1]
+
+ if next_pid != 0 and not next_comm.startswith("migration"):
+
+ if execnames and next_comm not in execnames:
+ continue
+
+ if pids and next_pid not in pids:
+ continue
+
+ data[name].append([index, end_idx, row["__cpu"]])
+ pmap[next_pid] = name
+ procs.add(name)
+
+ return data, procs, [start_idx, end_idx]
diff --git a/trappy/plotter/__init__.py b/trappy/plotter/__init__.py
new file mode 100644
index 0000000..a628b9b
--- /dev/null
+++ b/trappy/plotter/__init__.py
@@ -0,0 +1,83 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Init Module for the Plotter Code"""
+
+
+import pandas as pd
+import LinePlot
+import AttrConf
+try:
+ import trappy.plotter.EventPlot
+except ImportError:
+ pass
+import Utils
+import trappy
+import IPythonConf
+
+def register_forwarding_arg(arg_name):
+ """Allows the user to register args to
+ be forwarded to matplotlib
+
+ :param arg_name: The arg to register
+ :type arg_name: str
+ """
+ if arg_name not in AttrConf.ARGS_TO_FORWARD:
+ AttrConf.ARGS_TO_FORWARD.append(arg_name)
+
+def unregister_forwarding_arg(arg_name):
+ """Unregisters arg_name from being passed to
+ plotter matplotlib calls
+
+ :param arg_name: The arg to register
+ :type arg_name: str
+ """
+ try:
+ AttrConf.ARGS_TO_FORWARD.remove(arg_name)
+ except ValueError:
+ pass
+
+def plot_trace(trace,
+ execnames=None,
+ pids=None):
+ """Creates a kernelshark like plot of the trace file
+
+ :param trace: The path to the trace or a trace object
+ :type trace: str, :mod:`trappy.trace.FTrace`, :mod:`trappy.trace.SysTrace`
+ or :mod:`trappy.trace.BareTrace`.
+
+ :param execnames: List of execnames to be filtered. If not
+ specified all execnames will be plotted
+ :type execnames: list, str
+
+ :param pids: List of pids to be filtered. If not specified
+ all pids will be plotted
+ :type pids: list, str
+ """
+
+ if not IPythonConf.check_ipython():
+ raise RuntimeError("plot_trace needs ipython environment")
+
+ if not isinstance(trace, trappy.BareTrace):
+ if trace.endswith("html"):
+ trace = trappy.SysTrace(trace)
+ else:
+ trace = trappy.FTrace(trace)
+
+ data, procs, domain = Utils.get_trace_event_data(trace, execnames, pids)
+ trace_graph = EventPlot.EventPlot(data, procs, domain,
+ lane_prefix="CPU :",
+ num_lanes=int(trace._cpus))
+ trace_graph.view()
diff --git a/trappy/plotter/css/EventPlot.css b/trappy/plotter/css/EventPlot.css
new file mode 100644
index 0000000..98bb51d
--- /dev/null
+++ b/trappy/plotter/css/EventPlot.css
@@ -0,0 +1,82 @@
+/*
+ * Copyright 2015-2017 ARM Limited
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+.d3-tip {
+ line-height: 1;
+ padding: 12px;
+ background: rgba(0, 0, 0, 0.6);
+ color: #fff;
+ border-radius: 2px;
+ position: absolute !important;
+ z-index: 99999;
+}
+
+.d3-tip:after {
+ box-sizing: border-box;
+ pointer-events: none;
+ display: inline;
+ font-size: 10px;
+ width: 100%;
+ line-height: 1;
+ color: rgba(0, 0, 0, 0.6);
+ content: "\25BC";
+ position: absolute !important;
+ z-index: 99999;
+ text-align: center;
+}
+
+.d3-tip.n:after {
+ margin: -1px 0 0 0;
+ top: 100%;
+ left: 0;
+}
+
+.contextRect {
+ fill: lightgray;
+ fill-opacity: 0.5;
+ stroke: black;
+ stroke-width: 1;
+ stroke-opacity: 1;
+ pointer-events: none;
+ shape-rendering: crispEdges;
+}
+
+.chart {
+ shape-rendering: crispEdges;
+}
+
+.mini text {
+ font: 9px sans-serif;
+}
+
+.main text {
+ font: 12px sans-serif;
+}
+
+.axis line, .axis path {
+ stroke: black;
+}
+
+.miniItem {
+ stroke-width: 8;
+}
+
+.brush .extent {
+
+ stroke: #000;
+ fill-opacity: .125;
+ shape-rendering: crispEdges;
+}
diff --git a/trappy/plotter/css/EventPlot_help.jpg b/trappy/plotter/css/EventPlot_help.jpg
new file mode 100644
index 0000000..712eeae
--- /dev/null
+++ b/trappy/plotter/css/EventPlot_help.jpg
Binary files differ
diff --git a/trappy/plotter/js/EventPlot.js b/trappy/plotter/js/EventPlot.js
new file mode 100644
index 0000000..14a0024
--- /dev/null
+++ b/trappy/plotter/js/EventPlot.js
@@ -0,0 +1,1065 @@
+/*
+ * Copyright 2015-2017 ARM Limited
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+var EventPlot = (function () {
+
+ /* EventPlot receives data that is hashed by the keys
+ * and each element in the data is sorted by start time.
+ * Since events on each lane are mutually exclusive, they
+ * they are also sorted by the end time. We use this information
+ * and binary search on the input data for filtering events
+ * This maintains filtering complexity to O[KLogN]
+ */
+
+ var GUIDER_WIDTH = 2;
+
+ infoProps = {
+ START_GUIDER_COLOR: "green",
+ END_GUIDER_COLOR: "red",
+ DELTA_COLOR: "blue",
+ GUIDER_WIDTH: 2,
+ TOP_MARGIN: 20,
+ HEIGHT: 30,
+ START_PREFIX: "A = ",
+ END_PREFIX: "B = ",
+ DELTA_PREFIX: "A - B = ",
+ XPAD: 10,
+ YPAD: 5,
+ BOX_BUFFER: 2,
+ BOX_WIDTH_RATIO: 0.6
+ }
+
+ var search_data = function (data, key, value, left, right) {
+
+ var mid;
+
+ while (left < right) {
+
+ mid = Math.floor((left + right) / 2)
+ if (data[mid][key] > value)
+ right = mid;
+ else
+ left = mid + 1;
+ }
+ return left;
+ }
+
+
+ /* Return the information for the current process
+ * pointed by the mouse
+ */
+ var getCurrentInfo = function(ePlot, x0, y0) {
+
+ for (name in ePlot.items) {
+
+ var data = ePlot.items[name];
+ var xMax = ePlot.zoomScale.domain()[1];
+ var right = search_data(data, 0, xMax, 0, data.length - 1);
+ var left = search_data(data, 1, x0, 0, right);
+
+ if (data) {
+ var candidate = data[left];
+ if (candidate[0] <= x0 &&
+ candidate[1] >= x0 &&
+ candidate[2] == y0)
+ return {
+ name: name,
+ info: candidate
+ };
+ }
+ }
+ }
+
+ var generate = function (div_name, base, chart_data) {
+
+ var margin, brush, x, ext, yMain, chart, main,
+ mainAxis,
+ itemRects, items, colourAxis, tip, lanes;
+
+ var process_chart_data = function (d) {
+ items = d.data;
+ lanes = d.lanes;
+ var names = d.keys;
+ var showSummary = d.showSummary;
+ var div = $("#" + div_name);
+
+ margin = {
+ top: 15,
+ right: 15,
+ bottom: 15,
+ left: 70
+ }, width = div.width() - margin.left - margin.right,
+
+ mainHeight = 50 * lanes.length - margin.top - margin.bottom;
+
+ x = d3.scale.linear()
+ .domain(d.xDomain)
+ .range([0, width]);
+
+ var zoomScale = d3.scale.linear()
+ .domain(d.xDomain)
+ .range([0, width]);
+
+ var xMin = x.domain()[0];
+ var xMax = x.domain()[1];
+
+ if (!d.colorMap) {
+ // Colour Ordinal scale. Uses Category20 Colors
+ colours = d3.scale.category20().range();
+ } else {
+ // Use colours provided by user
+ var colours = [];
+ for (var i in names)
+ if (names[i] in d.colorMap)
+ colours.push(d.colorMap[names[i]]);
+ }
+ colourAxis = d3.scale.ordinal()
+ .range(colours)
+ .domain(names);
+
+ brushScale = d3.scale.linear()
+ .range([0, width]);
+ ext = d3.extent(lanes, function (d) {
+ return d.id;
+ });
+ yMain = d3.scale.linear()
+ .domain([ext[0], ext[1] +
+ 1
+ ])
+ .range([0, mainHeight]);
+
+
+ var ePlot;
+
+
+ $("#" + div_name)
+ .append('<div class="pull-right">' +
+ '<button type="button" class="btn btn-sm btn-info" ' +
+ 'onclick="EventPlot.create_help_dialog(' + base +
+ ')">Help</button></div>')
+
+ var iDesc = drawInfo(div_name, margin, width);
+
+ chart = d3.select('#' + div_name)
+ .append('svg:svg')
+ .attr('width', width + margin.right +
+ margin.left)
+ .attr('height', mainHeight + margin.top +
+ margin.bottom + 5)
+ .attr('class', 'chart')
+
+
+ main = chart.append('g')
+ .attr('transform', 'translate(' + margin.left +
+ ',' + margin.top + ')')
+ .attr('width', width)
+ .attr('height', mainHeight)
+ .attr('class', 'main')
+
+ main.append('g')
+ .selectAll('.laneLines')
+ .data(lanes)
+ .enter()
+ .append('line')
+ .attr('x1', 0)
+ .attr('y1', function (d) {
+ return d3.round(yMain(d.id)) + 0.5;
+ })
+ .attr('x2', width)
+ .attr('y2', function (d) {
+ return d3.round(yMain(d.id)) + 0.5;
+ })
+ .attr('stroke', function (d) {
+ return d.label === '' ? 'white' :
+ 'lightgray'
+ });
+
+ main.append('g')
+ .selectAll('.laneText')
+ .data(lanes)
+ .enter()
+ .append('text')
+ .attr('x', 0)
+ .text(function (d) {
+ return d.label;
+ })
+ .attr('y', function (d) {
+ return yMain(d.id + .5);
+ })
+ .attr('dy', '0.5ex')
+ .attr('text-anchor', 'end')
+ .attr('class', 'laneText');
+
+ mainAxis = d3.svg.axis()
+ .scale(brushScale)
+ .orient('bottom');
+
+ tip = d3.tip()
+ .attr('class', 'd3-tip')
+ .html(function (d) {
+ return "<span style='color:white'>" +
+ d.name + "</span>";
+ })
+
+ main.append('g')
+ .attr('transform', 'translate(0,' +
+ mainHeight + ')')
+ .attr('class', 'main axis')
+ .call(mainAxis);
+
+ var ePlot;
+
+ ePlot = {
+ div: div,
+ div_name: div_name,
+ margin: margin,
+ chart: chart,
+ mainHeight: mainHeight,
+ width: width,
+ x: x,
+ brushScale: brushScale,
+ ext: ext,
+ yMain: yMain,
+ main: main,
+ mainAxis: mainAxis,
+ items: items,
+ colourAxis: colourAxis,
+ tip: tip,
+ lanes: lanes,
+ names: names,
+ iDesc: iDesc,
+ };
+ ePlot.zoomScale = zoomScale;
+
+ if (showSummary)
+ drawMini(ePlot);
+
+ var outgoing;
+ var zoomed = function () {
+
+ if (zoomScale.domain()[0] < xMin) {
+ zoom.translate([zoom.translate()[
+ 0] - zoomScale(
+ xMin) +
+ zoomScale.range()[0],
+ zoom.translate()[
+ 1]
+ ]);
+ } else if (zoomScale.domain()[1] >
+ xMax) {
+ zoom.translate([zoom.translate()[
+ 0] - zoomScale(
+ xMax) +
+ zoomScale.range()[1],
+ zoom.translate()[
+ 1]
+ ]);
+
+ }
+
+ outgoing = main.selectAll(".mItem")
+ .attr("visibility", "hidden");
+ drawMain(ePlot, zoomScale.domain()[0],
+ zoomScale.domain()[1]);
+ if (showSummary) {
+ brush.extent(zoomScale.domain());
+ ePlot.mini.select(".brush")
+ .call(
+ brush);
+ }
+
+ brushScale.domain(zoomScale.domain());
+ ePlot.main.select('.main.axis')
+ .call(ePlot.mainAxis)
+
+ updateInfo(ePlot);
+ };
+
+ var rightClickCtrlAltHandler = function(x0, y0) {
+
+ x0 = ePlot.zoomScale.invert(x0);
+ y0 = Math.floor(ePlot.yMain.invert(y0));
+ var current = getCurrentInfo(ePlot, x0, y0);
+
+ if (current) {
+ ePlot.iDesc.currentProc.text(current.name)
+ ePlot.iDesc.currentInfo.text(
+ current.info[0].toFixed(6)
+ + " to " +
+ current.info[1].toFixed(6) +
+ " (" + (current.info[1] - current.info[0])
+ .toFixed(6) + ")")
+
+ removeContextRect(ePlot);
+ ePlot.contextRect = drawContextRect(ePlot, current.info[0], current.info[1], current.info[2], true)
+ ePlot.iDesc.currentDisp.attr("stroke", ePlot.colourAxis(current.name));
+ }
+ }
+
+ var contextMenuHandler = function() {
+
+ var e = d3.event;
+ var x0 = d3.mouse(this)[0] - ePlot.margin.left;
+ var y0 = d3.mouse(this)[1] - ePlot.margin.top;
+
+ if (e.ctrlKey && e.altKey)
+ rightClickCtrlAltHandler(x0, y0);
+
+ else if (e.ctrlKey) {
+
+ if (ePlot.endGuider)
+ ePlot.endGuider = ePlot.endGuider.remove();
+
+ ePlot.endGuider = drawVerticalLine(ePlot, x0,
+ infoProps.END_GUIDER_COLOR, "B");
+ ePlot.endGuider._x_pos = ePlot.zoomScale.invert(x0);
+ iDesc.endText.text(infoProps.END_PREFIX + ePlot.endGuider._x_pos.toFixed(6))
+
+ } else {
+
+ if (ePlot.startGuider)
+ ePlot.startGuider = ePlot.startGuider.remove();
+
+ ePlot.startGuider = drawVerticalLine(ePlot, x0,
+ infoProps.START_GUIDER_COLOR, "A");
+ ePlot.startGuider._x_pos = ePlot.zoomScale.invert(x0);
+ iDesc.startText.text(infoProps.START_PREFIX + ePlot.startGuider._x_pos.toFixed(6))
+ }
+
+ if (ePlot.endGuider && ePlot.startGuider)
+ iDesc.deltaText.text(infoProps.DELTA_PREFIX +
+ (ePlot.endGuider._x_pos - ePlot.startGuider._x_pos)
+ .toFixed(6)
+ )
+
+ d3.event.preventDefault();
+ }
+
+ chart.on("contextmenu", contextMenuHandler);
+
+ if (showSummary) {
+ var _brushed_event = function () {
+ main.selectAll("path")
+ .remove();
+ var brush_xmin = brush.extent()[0];
+ var brush_xmax = brush.extent()[1];
+
+ var t = zoom.translate(),
+ new_domain = brush.extent(),
+ scale;
+
+ /*
+ * scale = x.range()[1] - x.range[0]
+ * --------------------------
+ * x(x.domain()[1] - x.domain()[0])
+ *
+ * _ _
+ * new_domain[0] = x.invert | x.range()[0] - z.translate()[0] |
+ * | ------------------- |
+ * |_ z.scale() _|
+ *
+ *
+ *
+ * translate[0] = x.range()[0] - x(new_domain[0])) * zoom.scale()
+ */
+
+ scale = (width) / x(x.domain()[0] +
+ new_domain[1] -
+ new_domain[0]);
+ zoom.scale(scale);
+ t[0] = x.range()[0] - (x(new_domain[
+ 0]) * scale);
+ zoom.translate(t);
+
+
+ brushScale.domain(brush.extent())
+ drawMain(ePlot, brush_xmin,
+ brush_xmax);
+ ePlot.main.select('.main.axis')
+ .call(ePlot.mainAxis)
+
+ updateInfo(ePlot);
+ };
+
+ brush = d3.svg.brush()
+ .x(x)
+ .extent(x.domain())
+ .on("brush", _brushed_event);
+
+ ePlot.mini.append('g')
+ .attr('class', 'brush')
+ .call(brush)
+ .selectAll('rect')
+ .attr('y', 1)
+ .attr('height', ePlot.miniHeight - 1);
+ }
+
+ var zoom = d3.behavior.zoom()
+ .x(zoomScale)
+ .on(
+ "zoom", zoomed)
+ .on("zoomend", function () {
+ if (outgoing)
+ outgoing.remove()
+ })
+ .scaleExtent([1, 4096]);
+ chart.call(zoom);
+
+ drawMain(ePlot, xMin, xMax);
+ ePlot.main.select('.main.axis')
+ .call(ePlot.mainAxis)
+
+ var resize = function() {
+
+ var width = div.width() - margin.left
+ - margin.right;
+
+ /* Update scale ranges */
+ x.range([0, width]);
+ zoomScale.range([0, width]);
+ brushScale.range([0, width]);
+ ePlot.width = width;
+
+ resize_main(ePlot);
+ resize_info(ePlot);
+ resize_mini(ePlot);
+ zoomed();
+
+ }
+
+ d3.select(window)
+ .on("resize." + ePlot.div_name, resize)
+
+ return ePlot;
+
+ }
+
+ /*
+ * If chart_data is passed, process data directly
+ */
+ process_chart_data(chart_data);
+ };
+
+
+ var resize_mini = function(ePlot) {
+
+ d3.select(ePlot.mini.node().parentNode)
+ .attr("width", ePlot.div.width());
+ ePlot.iDesc.info_svg
+ .attr("width", ePlot.div.width());
+ ePlot.mini.selectAll("line")
+ .attr("x2", ePlot.width);
+ ePlot.mini.call(ePlot.miniAxis);
+ ePlot.mini.selectAll(".miniItem").remove();
+ drawMiniPaths(ePlot);
+ }
+
+ var resize_main = function(ePlot) {
+
+ d3.select(ePlot.main.node().parentNode)
+ .attr("width", ePlot.div.width());
+ ePlot.main.selectAll("line")
+ .attr("x2", ePlot.width);
+ }
+
+ var resize_info = function(ePlot) {
+
+ var width_box_one = infoProps.BOX_WIDTH_RATIO * ePlot.width;
+ var width_box_two = ePlot.width - width_box_one;
+
+ ePlot.iDesc.info
+ .attr("width", width);
+ ePlot.iDesc.guiderInfo
+ .attr("width", width_box_one - infoProps.BOX_BUFFER);
+ ePlot.iDesc.currentDisp
+ .attr("x", width_box_one + infoProps.BOX_BUFFER);
+ ePlot.iDesc.currentDisp
+ .attr("width", width_box_two - infoProps.BOX_BUFFER);
+ ePlot.iDesc.deltaText
+ .attr("x", (width_box_one / 2) - infoProps.XPAD)
+ ePlot.iDesc.endText
+ .attr("x", width_box_one - infoProps.XPAD)
+ ePlot.iDesc.currentProc
+ .attr("x", width_box_one + infoProps.XPAD + infoProps.BOX_BUFFER)
+ ePlot.iDesc.currentInfo
+ .attr("x", ePlot.width - infoProps.XPAD)
+ }
+
+ var drawInfo = function (div_name, margin, width) {
+
+ var infoHeight = 30;
+ var _top = 20;
+ var LINE_WIDTH = 2
+
+ var iDesc = {};
+
+ var width_box_one = infoProps.BOX_WIDTH_RATIO * width;
+ var width_box_two = width - width_box_one
+
+ iDesc.info_svg = d3.select("#" + div_name)
+ .append(
+ "svg:svg")
+ .attr('width', width + margin.right +
+ margin.left)
+ .attr('height', infoHeight + infoProps.TOP_MARGIN + LINE_WIDTH)
+ .attr('class', 'info')
+
+ iDesc.info = iDesc.info_svg.append("g")
+ .attr("transform", "translate(" + margin.left +
+ "," + infoProps.TOP_MARGIN + ")")
+ .attr('width', width)
+ .attr("class", "main")
+ .attr('height', infoProps.HEIGHT)
+
+ iDesc.guiderInfo = iDesc.info.append("rect")
+ .attr("x", 0)
+ .attr("y", 0)
+ .attr("width", width_box_one - infoProps.BOX_BUFFER)
+ .attr("height", infoHeight)
+ .attr("stroke", "lightgray")
+ .attr("fill", "none")
+ .attr("stroke-width", 1);
+
+ iDesc.currentDisp = iDesc.info.append("rect")
+ .attr("x", width_box_one + infoProps.BOX_BUFFER)
+ .attr("y", 0)
+ .attr("width", width_box_two - infoProps.BOX_BUFFER)
+ .attr("height", infoHeight)
+ .attr("stroke", "lightgray")
+ .attr("fill", "none")
+ .attr("stroke-width", 1);
+
+ iDesc.startText = iDesc.info.append("text")
+ .text("")
+ .attr("x", infoProps.XPAD)
+ .attr("y", infoProps.HEIGHT / 2 + infoProps.YPAD)
+ .attr("fill", infoProps.START_GUIDER_COLOR);
+
+ iDesc.deltaText = iDesc.info.append("text")
+ .text("")
+ .attr("x", (width_box_one / 2) - infoProps.XPAD)
+ .attr("y", infoProps.HEIGHT / 2 + infoProps.YPAD)
+ .attr("fill", infoProps.DELTA_COLOR);
+
+ iDesc.endText = iDesc.info.append("text")
+ .text("")
+ .attr("x", width_box_one - infoProps.XPAD)
+ .attr("text-anchor", "end")
+ .attr("y", infoProps.HEIGHT / 2 + infoProps.YPAD)
+ .attr("fill", infoProps.END_GUIDER_COLOR);
+
+ iDesc.currentProc = iDesc.info.append("text")
+ .text("")
+ .attr("x", width_box_one + infoProps.XPAD + infoProps.BOX_BUFFER)
+ .attr("text-anchor", "start")
+ .attr("y", infoProps.HEIGHT / 2 + infoProps.YPAD)
+
+ iDesc.currentInfo = iDesc.info.append("text")
+ .text("")
+ .attr("x", width - infoProps.XPAD)
+ .attr("text-anchor", "end")
+ .attr("y", infoProps.HEIGHT / 2 + infoProps.YPAD)
+
+ return iDesc;
+
+ }
+
+ var drawVerticalLine = function (ePlot, x, color, text) {
+
+ var line = ePlot.main.append("g")
+
+ line.append("line")
+ .style("stroke", color)
+ .style("stroke-width", GUIDER_WIDTH)
+ .attr("x1", x)
+ .attr("x2", x)
+ .attr("y1", 0)
+ .attr("y2", ePlot.mainHeight)
+
+ line.append("text")
+ .text(text)
+ .attr("y", -1)
+ .attr("x", x)
+ .attr("text-anchor", "middle")
+ .attr("fill", color)
+
+ return line;
+ };
+
+ var removeContextRect = function(ePlot) {
+ if (ePlot.contextRect && ePlot.contextRect.rect)
+ ePlot.contextRect.rect.remove();
+ }
+
+ var drawContextRect = function (ePlot, x0, x1, y, animate) {
+
+ var xMin = ePlot.zoomScale.domain()[0];
+ var xMax = ePlot.zoomScale.domain()[1];
+ var bounds = [Math.max(x0, xMin), Math.min(x1,
+ xMax)]
+
+ if (bounds[0] >= bounds[1])
+ return {
+ rect: false,
+ x0: x0,
+ x1: x1,
+ y: y,
+ }
+
+ var rect = ePlot.main.selectAll(".contextRect").data([""])
+
+ if (animate)
+ rect.enter().append("rect")
+ .attr("x", ePlot.zoomScale(bounds[0]))
+ .attr("y", ePlot.yMain(y))
+ .attr("height", ePlot.yMain(1))
+ .attr("class", "contextRect")
+ .attr("width", 0)
+ .transition()
+ .attr("width", ePlot.zoomScale(bounds[1]) - ePlot.zoomScale(bounds[0]))
+ else
+ rect.enter().append("rect")
+ .attr("x", ePlot.zoomScale(bounds[0]))
+ .attr("y", ePlot.yMain(y))
+ .attr("class", "contextRect")
+ .attr("height", ePlot.yMain(1))
+ .attr("width", ePlot.zoomScale(bounds[1]) - ePlot.zoomScale(bounds[0]))
+
+ return {
+ rect: rect,
+ x0: x0,
+ x1: x1,
+ y: y,
+ }
+ }
+
+ var checkGuiderRange = function (ePlot, xpos) {
+
+ if (xpos >= ePlot.zoomScale.domain()[0] &&
+ xpos <= ePlot.zoomScale.domain()[1])
+ return true;
+ else
+ return false;
+ }
+
+ var updateInfo = function (ePlot) {
+
+ if (ePlot.endGuider) {
+
+ var xpos = ePlot.endGuider._x_pos;
+ ePlot.endGuider.remove();
+
+ if (checkGuiderRange(ePlot, xpos)) {
+ ePlot.endGuider = drawVerticalLine(ePlot, ePlot.zoomScale(xpos),
+ infoProps.END_GUIDER_COLOR, "B");
+ ePlot.endGuider._x_pos = xpos;
+ }
+ }
+
+ if (ePlot.startGuider) {
+
+ var xpos = ePlot.startGuider._x_pos;
+ ePlot.startGuider.remove();
+
+ if (checkGuiderRange(ePlot, xpos)) {
+ ePlot.startGuider = drawVerticalLine(ePlot, ePlot.zoomScale(xpos),
+ infoProps.START_GUIDER_COLOR, "A");
+ ePlot.startGuider._x_pos = xpos
+ }
+ }
+
+ if (ePlot.contextRect) {
+ removeContextRect(ePlot);
+ ePlot.contextRect = drawContextRect(ePlot, ePlot.contextRect.x0,
+ ePlot.contextRect.x1,
+ ePlot.contextRect.y,
+ false);
+ }
+
+ }
+
+ var drawMiniPaths = function(ePlot) {
+
+ ePlot.mini.append('g')
+ .selectAll('miniItems')
+ .data(getPaths(ePlot, ePlot.x, ePlot.yMini))
+ .enter()
+ .append('path')
+ .attr('class', function (d) {
+ return 'miniItem'
+ })
+ .attr('d', function (d) {
+ return d.path;
+ })
+ .attr("stroke", function (d) {
+ return d.color
+ })
+ .attr("class", "miniItem");
+ }
+
+ var drawMini = function (ePlot) {
+
+ var miniHeight = ePlot.lanes.length * 12 + 50;
+
+ var miniAxis = d3.svg.axis()
+ .scale(ePlot.x)
+ .orient('bottom');
+
+ var yMini = d3.scale.linear()
+ .domain([ePlot.ext[0], ePlot.ext[1] +
+ 1
+ ])
+ .range([0, miniHeight]);
+
+ ePlot.yMini = yMini;
+ ePlot.miniAxis = miniAxis;
+ ePlot.miniHeight = miniHeight;
+
+ var summary = d3.select("#" + ePlot.div_name)
+ .append(
+ "svg:svg")
+ .attr('width', ePlot.width + ePlot.margin.right +
+ ePlot.margin.left)
+ .attr('height', miniHeight + ePlot.margin.bottom +
+ ePlot.margin.top)
+ .attr('class', 'chart')
+
+ var mini = summary.append('g')
+ .attr("transform", "translate(" + ePlot.margin.left +
+ "," + ePlot.margin.top + ")")
+ .attr('width', ePlot.width)
+ .attr('height', ePlot.miniHeight)
+ .attr('class', 'mini');
+
+ mini.append('g')
+ .selectAll('.laneLines')
+ .data(ePlot.lanes)
+ .enter()
+ .append('line')
+ .attr('x1', 0)
+ .attr('y1', function (d) {
+ return d3.round(ePlot.yMini(d.id)) + 0.5;
+ })
+ .attr('x2', ePlot.width)
+ .attr('y2', function (d) {
+ return d3.round(ePlot.yMini(d.id)) + 0.5;
+ })
+ .attr('stroke', function (d) {
+ return d.label === '' ? 'white' :
+ 'lightgray'
+ });
+
+ mini.append('g')
+ .attr('transform', 'translate(0,' +
+ ePlot.miniHeight + ')')
+ .attr('class', 'axis')
+ .call(ePlot.miniAxis);
+
+ ePlot.mini = mini
+ drawMiniPaths(ePlot);
+
+ mini.append('g')
+ .selectAll('.laneText')
+ .data(ePlot.lanes)
+ .enter()
+ .append('text')
+ .text(function (d) {
+ return d.label;
+ })
+ .attr('x', -10)
+ .attr('y', function (d) {
+ return ePlot.yMini(d.id + .5);
+ })
+ .attr('dy', '0.5ex')
+ .attr('text-anchor', 'end')
+ .attr('class', 'laneText');
+
+ return mini;
+ };
+
+
+ var drawMain = function (ePlot, xMin, xMax) {
+
+ var rects, labels;
+ var dMin = 10000;
+ var paths = getPaths(ePlot, ePlot.zoomScale, ePlot.yMain);
+ ePlot.brushScale.domain([xMin, xMax]);
+
+ if (paths.length == 0)
+ return;
+
+ ePlot.main
+ .selectAll('mainItems')
+ .data(paths)
+ .enter()
+ .append('path')
+ .attr("shape-rendering", "crispEdges")
+ .attr('d', function (d) {
+ return d.path;
+ })
+ .attr("class", "mItem")
+ .attr("stroke-width", function(d) {
+ return 0.8 * ePlot.yMain(1);
+ })
+ .attr("stroke", function (d) {
+ return d.color
+ })
+ .call(ePlot.tip)
+ .on("mouseover", ePlot.tip.show)
+ .on('mouseout', ePlot.tip.hide)
+ .on('mousemove', function () {
+ var xDisp = parseFloat(ePlot.tip.style("width")) /
+ 2.0
+ ePlot.tip.style("left", (d3.event.pageX - xDisp) +
+ "px")
+ .style("top", Math.max(0, d3.event.pageY -
+ 47) + "px");
+ })
+ };
+
+
+ function _handle_equality(d, xMin, xMax, x, y, lane) {
+ var offset = 0.5 * y(1) + 0.5
+ var bounds = [Math.max(d[0], xMin), Math.min(d[1],
+ xMax)]
+ if (bounds[0] < bounds[1])
+ return 'M' + ' ' + x(bounds[0]) + ' ' + (y(lane) + offset) + ' H ' + x(bounds[1]);
+ else
+ return '';
+ };
+
+ function _process(path, d, xMin, xMax, x, y, offset, lane) {
+
+ var start = d[0];
+ if (start < xMin)
+ start = xMin;
+ var end = d[1];
+ if (end > xMax)
+ end = xMax;
+
+ start = x(start);
+ end = x(end);
+
+ if ((end - start) < 0.01)
+ return path;
+ else if ((end - start) < 1)
+ end = start + 1;
+
+ path += 'M' + ' ' + start + ' ' + (y(lane) + offset) + ' H ' + end;
+ return path;
+ }
+
+ var _get_path = function(new_data, xMin, xMax, offset, x, y, stride) {
+
+ var path = ''
+ var max_rects = 2000;
+
+ for (var lane in new_data) {
+ var data = new_data[lane];
+ var right = search_data(data, 0, xMax, 0, data.length - 1)
+ var left = search_data(data, 1, xMin, 0, right)
+
+ //Handle Equality
+ if (left == right)
+ path += _handle_equality(data[left], xMin, xMax, x, y, lane);
+
+ data = data.slice(left, right + 1);
+
+ var stride_length = 1;
+ if (stride)
+ stride_length = Math.max(Math.ceil(data.length / max_rects), 1);
+
+ for (var i = 0; i < data.length; i+= stride_length)
+ path = _process(path, data[i], xMin, xMax, x, y, offset, lane);
+ }
+
+ return path;
+ }
+
+ var getPaths = function (ePlot, x, y, stride) {
+
+ var keys = ePlot.names;
+ var items = ePlot.items;
+ var colourAxis = ePlot.colourAxis;
+
+ var xMin = x.domain()[0];
+ var xMax = x.domain()[1];
+ var paths = {},
+ d, offset = 0.5 * y(1) + 0.5,
+ result = [];
+
+ for (var i in keys) {
+ var name = keys[i];
+ var path = _get_path(items[name], xMin, xMax, offset, x, y, stride)
+ /* This is critical. Adding paths for non
+ * existent processes in the window* can be
+ * very expensive as there is one SVG per process
+ * and SVG rendering is expensive
+ */
+ if (!path || path == "")
+ continue
+
+ result.push({
+ color: colourAxis(name),
+ path: path,
+ name: name
+ });
+ }
+
+ return result;
+
+ }
+
+ var create_dialog_body = function (body, title) {
+
+ var element = $("<div/>")
+ .addClass("modal fade")
+ .attr("role", "dialog")
+ .attr("tabindex", -1)
+
+ element.append(
+ $("<div/>")
+ .addClass("modal-dialog")
+ .attr("role", "document")
+ .append(
+ $("<div/>")
+ .addClass("modal-content")
+ .append(
+ $("<div/>")
+ .addClass("modal-header")
+ .append(
+ $("<button>")
+ .addClass("close")
+ .attr("data-dismiss",
+ "modal")
+ .append($("<span/>")
+ .html("&times;"))
+ )
+ .append($("<h4/>")
+ .addClass("modal-title")
+ .text(title)
+ )
+ .append($("<div/>")
+ .addClass("modal-body")
+ .append(body)
+ )
+ .append(
+ $("<div/>")
+ .addClass("modal-footer")
+ .append(
+ $("<button>")
+ .addClass("btn btn-default")
+ .attr("data-dismiss", "modal")
+ .text("Close")
+ )
+ )
+ )
+ )
+ )
+
+ return element.modal();
+
+ }
+
+ var create_help_dialog = function (base) {
+
+ var HELP_IMAGE = "plotter_scripts/EventPlot/EventPlot_help.jpg"
+
+ var element = $('<div/>');
+
+ // The documentation
+ var doc = $('<div/>')
+ .addClass('alert alert-info');
+
+ doc.append(
+ 'EventPlot is a multi-lane timeline plot ' +
+ 'which supports interative zooming and timing calculation'
+ );
+
+ element.append(doc);
+
+ var zoom = $("<div/>")
+ .addClass("media-left");
+
+ var addLabel = function (txt, cls) {
+ return '<span class="label label-' + cls + '" + ">' +
+ txt + '</span>'
+ }
+
+ var addListItem = function (txt) {
+ return '<li class="list-group-item">' + txt +
+ '</li>'
+ }
+
+ var addPlus = function () {
+ return " + "
+ }
+
+ var addBadge = function (txt) {
+ return '<span class="label label-default" style="border-radius: 10px">' +
+ txt + '</span>'
+ }
+
+ element.append(
+ '<img style="width: 100%;" class="media-object" src="' + base +
+ HELP_IMAGE + '"/>'
+ )
+
+ element.append('<ul class="list-group">')
+ element.append(addListItem('Scroll in the main area ' +
+ addBadge("1") + " to zoom interactively"))
+ element.append(addListItem(
+ 'Click and drag in the main area ' + addBadge(
+ "1") + " to pan the zoom"))
+ element.append(addListItem(
+ 'The summary of the plot is shown in ' +
+ addBadge("2")))
+ element.append(addListItem('Adjust the size of window ' +
+ addBadge("4") +
+ " set the X-Limits of the chart"))
+
+ element.append(addListItem(addLabel("Right-Click",
+ "default") +
+ " to place marker " + addLabel("A", "success")))
+
+ element.append(addListItem(addLabel("Ctrl", "primary") +
+ " + " + addLabel("Right-Click", "default") +
+ " to place marker " + addLabel("B", "danger")))
+
+ element.append(addListItem(
+ "The marker positions and delta will be shown in " +
+ addBadge("3")))
+
+ element.append(
+ addListItem(addLabel("Ctrl", "primary") + addPlus() +
+ addLabel("Alt", "primary") + addPlus() +
+ addLabel("Right-Click", "default") +
+ " on the rectange (eg. " + addBadge("6") +
+ " ) to show info in " + addBadge("5")))
+
+ element.append('</ul>')
+
+ var dialog = create_dialog_body(element, "Help: EventPlot");
+ dialog.show();
+
+ }
+
+ return {
+ generate: generate,
+ create_help_dialog: create_help_dialog
+ };
+
+}());
diff --git a/trappy/plotter/js/ILinePlot.js b/trappy/plotter/js/ILinePlot.js
new file mode 100644
index 0000000..309e90d
--- /dev/null
+++ b/trappy/plotter/js/ILinePlot.js
@@ -0,0 +1,173 @@
+/*
+ * Copyright 2015-2017 ARM Limited
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+var ILinePlot = ( function() {
+
+ var graphs = new Array();
+ var syncObjs = new Array();
+
+ var purge = function() {
+ for (var div_name in graphs) {
+ if (document.getElementById(div_name) == null) {
+ delete graphs[div_name];
+ }
+ }
+ };
+
+ var sync = function(group) {
+
+ var syncGraphs = Array();
+ var xRange;
+ var yRange;
+ var syncZoom = true;
+
+ for (var div_name in graphs) {
+
+ if (graphs[div_name].group == group) {
+ syncGraphs.push(graphs[div_name].graph);
+ syncZoom = syncZoom & graphs[div_name].syncZoom;
+
+ var xR = graphs[div_name].graph.xAxisRange();
+ var yR = graphs[div_name].graph.yAxisRange();
+
+ if (xRange != undefined) {
+ if (xR[0] < xRange[0])
+ xRange[0] = xR[0];
+ if (xR[1] > xRange[1])
+ xRange[1] = xR[1];
+ } else
+ xRange = xR;
+
+ if (yRange != undefined) {
+ if (yR[0] < yRange[0])
+ yRange[0] = yR[0];
+ if (yR[1] > yRange[1])
+ yRange[1] = yR[1];
+ } else
+ yRange = yR;
+ }
+ }
+
+ if (syncGraphs.length >= 2) {
+ if (syncZoom) {
+ if (syncObjs[group] != undefined)
+ syncObjs[group].detach();
+
+ syncObjs[group] = Dygraph.synchronize(syncGraphs, {
+ zoom: true,
+ selection: false,
+ range: true
+ });
+ }
+
+ $.each(syncGraphs, function(g) {
+ var graph = syncGraphs[g];
+
+ graph.updateOptions({
+ valueRange: yRange,
+ dateWindow: xRange
+ });
+
+ if (graph.padFront_ == undefined) {
+ graph.padFront_ = true;
+ var _decoy_elem = new Array(graph.rawData_[0].length);
+ graph.rawData_.unshift(_decoy_elem);
+ }
+ graph.rawData_[0][0] = xRange[0];
+
+ if (graph.padBack_ == undefined) {
+ graph.padBack_ = true;
+ var _decoy_elem = new Array(graph.rawData_[0].length);
+ graph.rawData_.push(_decoy_elem);
+ }
+ graph.rawData_[graph.rawData_.length - 1][0] = xRange[1];
+ });
+ }
+ };
+
+ var generate = function(data, colors) {
+ create_graph(data, colors);
+ purge();
+ if (data.syncGroup != undefined)
+ sync(data.syncGroup);
+ };
+
+ var create_graph = function(t_info, colors) {
+ var tabular = t_info.data;
+
+ var options = {
+ legend: 'always',
+ title: t_info.title,
+ labels: tabular.labels,
+ labelsDivStyles: {
+ 'textAlign': 'right'
+ },
+ rollPeriod: 1,
+ animatedZooms: true,
+ connectSeparatedPoints: true,
+ showRangeSelector: t_info.rangesel,
+ rangeSelectorHeight: 50,
+ stepPlot: t_info.step_plot,
+ logscale: t_info.logscale,
+ fillGraph: t_info.fill_graph,
+ labelsDiv: t_info.name + "_legend",
+ errorBars: false,
+ labelsSeparateLines: true,
+ valueRange: t_info.valueRange,
+ drawPoints: t_info.drawPoints,
+ strokeWidth: t_info.strokeWidth,
+ pointSize: t_info.pointSize,
+ dateWindow: t_info.dateWindow
+ };
+
+ if (typeof t_info.fill_alpha !== 'undefined')
+ options.fillAlpha = t_info.fill_alpha;
+
+ if (typeof colors !== 'undefined')
+ options["colors"] = colors;
+
+ var graph = new Dygraph(document.getElementById(t_info.name), tabular.data, options);
+
+ var width = $("#" + t_info.name)
+ .closest(".output_subarea").width() / t_info.per_line
+
+ /*
+ * Remove 3 pixels from width to avoid unnecessary horizontal scrollbar
+ */
+ graph.resize(width - 3, t_info.height);
+
+ $(window).on("resize." + t_info.name, function() {
+
+ var width = $("#" + t_info.name)
+ .closest(".output_subarea").width() / t_info.per_line
+
+ graph.resize(width, t_info.height);
+ });
+
+ graphs[t_info.name] =
+ {
+ graph: graph,
+ group: t_info.syncGroup,
+ syncZoom: t_info.syncZoom
+ };
+
+ };
+
+ return {
+ generate: generate
+ };
+
+}());
diff --git a/trappy/sched.py b/trappy/sched.py
new file mode 100644
index 0000000..ebcb79d
--- /dev/null
+++ b/trappy/sched.py
@@ -0,0 +1,137 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+"""Definitions of scheduler events registered by the FTrace class"""
+
+from trappy.base import Base
+from trappy.dynamic import register_ftrace_parser, register_dynamic_ftrace
+
+class SchedLoadAvgSchedGroup(Base):
+ """Corresponds to Linux kernel trace event sched_load_avg_sched_group"""
+
+ unique_word = "sched_load_avg_sg:"
+ """The unique word that will be matched in a trace line"""
+
+ _cpu_mask_column = "cpus"
+
+ pivot = "cpus"
+ """The Pivot along which the data is orthogonal"""
+
+ def finalize_object(self):
+ """This condition is necessary to force column 'cpus' to be printed
+ as 8 digits w/ leading 0
+ """
+ if self._cpu_mask_column in self.data_frame.columns:
+ dfr = self.data_frame[self._cpu_mask_column].apply('{:0>8}'.format)
+ self.data_frame[self._cpu_mask_column] = dfr
+
+register_ftrace_parser(SchedLoadAvgSchedGroup, "sched")
+
+class SchedLoadAvgTask(Base):
+ """Corresponds to Linux kernel trace event sched_load_avg_task"""
+
+ unique_word = "sched_load_avg_task:"
+ """The unique word that will be matched in a trace line"""
+
+ pivot = "pid"
+ """The Pivot along which the data is orthogonal"""
+
+ def get_pids(self, key=""):
+ """Returns a list of (comm, pid) that contain
+ 'key' in their 'comm'."""
+ dfr = self.data_frame.drop_duplicates(subset=['comm', 'pid'])
+ dfr = dfr.ix[:, ['comm', 'pid']]
+
+ return dfr[dfr['comm'].str.contains(key)].values.tolist()
+
+register_ftrace_parser(SchedLoadAvgTask, "sched")
+
+# pylint doesn't like globals that are not ALL_CAPS
+# pylint: disable=invalid-name
+SchedLoadAvgCpu = register_dynamic_ftrace("SchedLoadAvgCpu",
+ "sched_load_avg_cpu:", "sched",
+ pivot="cpu")
+"""Load and Utilization Signals for CPUs"""
+
+SchedContribScaleFactor = register_dynamic_ftrace("SchedContribScaleFactor",
+ "sched_contrib_scale_f:",
+ "sched")
+"""Event to register tracing of contrib factor"""
+
+class SchedCpuCapacity(Base):
+ """Corresponds to Linux kernel trace event sched/cpu_capacity"""
+
+ unique_word = "cpu_capacity:"
+ """The unique word that will be matched in a trace line"""
+
+ pivot = "cpu"
+ """The Pivot along which the data is orthogonal"""
+
+ def finalize_object(self):
+ """This renaming is necessary because our cpu related pivot is 'cpu'
+ and not 'cpu_id'. Otherwise you cannot 'mix and match' with other
+ classes
+ """
+ self.data_frame.rename(columns={'cpu_id':'cpu'}, inplace=True)
+ self.data_frame.rename(columns={'state' :'capacity'}, inplace=True)
+
+register_ftrace_parser(SchedCpuCapacity, "sched")
+
+SchedWakeup = register_dynamic_ftrace("SchedWakeup", "sched_wakeup:", "sched",
+ parse_raw=True)
+"""Register SchedWakeup Event"""
+
+SchedWakeupNew = register_dynamic_ftrace("SchedWakeupNew", "sched_wakeup_new:",
+ "sched", parse_raw=True)
+"""Register SchedWakeupNew Event"""
+
+# pylint: enable=invalid-name
+
+class SchedSwitch(Base):
+ """Parse sched_switch"""
+
+ unique_word = "sched_switch:"
+
+ def __init__(self):
+ super(SchedSwitch, self).__init__(parse_raw=True)
+
+ def append_data(self, time, comm, pid, tgid, cpu, data):
+ data_rep = data.replace(" ==> ", " ")
+ super(SchedSwitch, self).append_data(time, comm, pid, tgid, cpu,
+ data_rep)
+
+register_ftrace_parser(SchedSwitch, "sched")
+
+class SchedCpuFrequency(Base):
+ """Corresponds to Linux kernel trace event power/cpu_frequency"""
+
+ unique_word = "cpu_frequency:"
+ """The unique word that will be matched in a trace line"""
+
+ pivot = "cpu"
+ """The Pivot along which the data is orthogonal"""
+
+ def finalize_object(self):
+ """This renaming is necessary because our cpu related pivot is 'cpu'
+ and not 'cpu_id'. Otherwise you cannot 'mix and match' with other
+ classes
+ """
+ self.data_frame.rename(columns={'cpu_id':'cpu'}, inplace=True)
+ self.data_frame.rename(columns={'state' :'frequency'}, inplace=True)
+
+register_ftrace_parser(SchedCpuFrequency, "sched")
+
+register_dynamic_ftrace("SchedMigrateTask", "sched_migrate_task:", "sched")
diff --git a/trappy/stats/Aggregator.py b/trappy/stats/Aggregator.py
new file mode 100644
index 0000000..0ca9525
--- /dev/null
+++ b/trappy/stats/Aggregator.py
@@ -0,0 +1,174 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Aggregators are responsible for aggregating information
+for further analysis. These aggregations can produce
+both scalars and vectors and each aggregator implementation
+is expected to handle its "aggregation" mechanism.
+"""
+
+
+from trappy.utils import listify
+from trappy.stats.Indexer import MultiTriggerIndexer
+from abc import ABCMeta, abstractmethod
+
+
+class AbstractAggregator(object):
+ """Abstract class for all aggregators
+
+ :param indexer: Indexer is passed on by the Child class
+ for handling indices during correlation
+ :type indexer: :mod:`trappy.stats.Indexer.Indexer`
+
+ :param aggfunc: Function that accepts a pandas.Series and
+ process it for aggregation.
+ :type aggfunc: function
+ """
+
+ __metaclass__ = ABCMeta
+
+ # The current implementation needs the index to
+ # be unified across data frames to account for
+ # variable sampling across data frames
+ def __init__(self, indexer, aggfunc=None):
+
+ self._result = {}
+ self._aggregated = False
+ self._aggfunc = aggfunc
+ self.indexer = indexer
+
+ def _add_result(self, pivot, series):
+ """Add the result for the given pivot and trace
+
+ :param pivot: The pivot for which the result is being generated
+ :type pivot(hashable)
+
+ :param series: series to be added to result
+ :type series: :mod:`pandas.Series`
+ """
+
+ if pivot not in self._result:
+ self._result[pivot] = self.indexer.series()
+
+ for idx in series.index:
+ self._result[pivot][idx] = series[idx]
+
+ @abstractmethod
+ def aggregate(self, trace_idx, **kwargs):
+ """Abstract Method for aggregating data for various
+ pivots.
+
+ :param trace_idx: Index of the trace to be aggregated
+ :type trace_idx: int
+
+ :return: The aggregated result
+
+ """
+
+ raise NotImplementedError("Method Not Implemented")
+
+
+class MultiTriggerAggregator(AbstractAggregator):
+
+ """This aggregator accepts a list of triggers and each trigger has
+ a value associated with it.
+ """
+
+ def __init__(self, triggers, topology, aggfunc=None):
+ """
+ :param triggers: trappy.stat.Trigger): A list or a singular trigger object
+ :type triggers: :mod:`trappy.stat.Trigger.Trigger`
+
+ :param topology (trappy.stat.Topology): A topology object for aggregation
+ levels
+ :type topology: :mod:`trappy.stat.Topology`
+
+ :param aggfunc: A function to be applied on each series being aggregated.
+ For each topology node, a series will be generated and this
+ will be processed by the aggfunc
+ :type aggfunc: function
+ """
+ self._triggers = triggers
+ self.topology = topology
+ super(
+ MultiTriggerAggregator,
+ self).__init__(MultiTriggerIndexer(triggers), aggfunc)
+
+ def aggregate(self, **kwargs):
+ """
+ Aggregate implementation that aggregates
+ triggers for a given topological level. All the arguments passed to
+ it are forwarded to the aggregator function except level (if present)
+
+ :return: A scalar or a vector aggregated result. Each group in the
+ level produces an element in the result list with a one to one
+ index correspondence
+ ::
+
+ groups["level"] = [[1,2], [3,4]]
+ result = [result_1, result_2]
+ """
+
+ level = kwargs.pop("level", "all")
+
+ # This function is a hot spot in the code. It is
+ # worth considering a memoize decorator to cache
+ # the function. The memoization can also be
+ # maintained by the aggregator object. This will
+ # help the code scale efficeintly
+ level_groups = self.topology.get_level(level)
+ result = []
+
+
+ if not self._aggregated:
+ self._aggregate_base()
+
+ for group in level_groups:
+ group = listify(group)
+ if self._aggfunc is not None:
+ level_res = self._aggfunc(self._result[group[0]], **kwargs)
+ else:
+ level_res = self._result[group[0]]
+
+ for node in group[1:]:
+ if self._aggfunc is not None:
+ node_res = self._aggfunc(self._result[node], **kwargs)
+ else:
+ node_res = self._result[node]
+
+ level_res += node_res
+
+ result.append(level_res)
+
+ return result
+
+ def _aggregate_base(self):
+ """A memoized function to generate the base series
+ for each node in the flattened topology
+ ::
+
+ topo["level_1"] = [[1, 2], [3, 4]]
+
+ This function will generate the fundamental
+ aggregations for all nodes 1, 2, 3, 4 and
+ store the result in _agg_result
+ """
+
+ for trigger in self._triggers:
+ for node in self.topology.flatten():
+ result_series = trigger.generate(node)
+ self._add_result(node, result_series)
+
+ self._aggregated = True
diff --git a/trappy/stats/Correlator.py b/trappy/stats/Correlator.py
new file mode 100644
index 0000000..191cb06
--- /dev/null
+++ b/trappy/stats/Correlator.py
@@ -0,0 +1,231 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""The module responsible for correlation
+and related functionality
+"""
+from trappy.stats import StatConf
+from trappy.stats.Indexer import get_unified_indexer
+import numpy as np
+import math
+
+
+class Correlator(object):
+ """Class that allows to align and correlate two traces
+ :param first: First Aggregator
+ :type first: :mod:`trappy.stats.Aggregator`
+
+ :param second: Second Aggregator
+ :type second: :mod:`trappy.stats.Aggregator`
+ """
+
+ def __init__(self, first, second, **kwargs):
+
+ self._first_agg = first
+ self._second_agg = second
+ self.indexer = get_unified_indexer([first.indexer, second.indexer])
+ self._corrfunc = kwargs.pop("corrfunc", None)
+ self._agg_kwargs = kwargs
+ self.corr_graphs = {}
+ self._shift = self._align_top_level()
+
+ def _resample(self, series, delta=StatConf.DELTA_DEFAULT):
+ """Internal method to resample the series
+ to a uniformly spaced index
+
+ :param series: Series io be resampled
+ :type series: :mod:`pandas.Series`
+
+ :param delta: spacing between indices
+ :type delta: float
+
+ :return: resampled :mod:`pandas.Series`
+ """
+
+ new_index = self.indexer.get_uniform(delta)
+ return series.reindex(index=new_index, method="pad")
+
+ def correlate(self, level, resample=True):
+ """This function returns the correlation between two traces
+
+ :param level: The level at which the correlation is
+ required
+ :type level: str
+
+ :param resample: Resample data
+ :type resample: bool
+
+ :return: A normalized correlation value is returned
+ for each group in the level
+ """
+ result_1 = self._first_agg.aggregate(level=level, **self._agg_kwargs)
+ result_2 = self._second_agg.aggregate(level=level, **self._agg_kwargs)
+
+
+ corr_output = []
+ weights = []
+
+ for group_id, result_group in enumerate(result_1):
+ series_x = result_group
+ series_y = result_2[group_id]
+
+ if resample:
+ series_x = self._resample(series_x)
+ series_y = self._resample(series_y)
+
+ series_x, series_y = shift_series(series_x, series_y, self._shift)
+ corr_output.append(self._correlate(series_x, series_y))
+ weights.append(len(series_x[series_x != 0]) + len(series_y[series_y != 0]))
+
+ total = 0
+ for weight, corr in zip(weights, corr_output):
+ if math.isnan(corr):
+ continue
+ total += (weight * corr) / sum(weights)
+
+ return corr_output, total
+
+
+ def plot(self, level, per_line=3):
+ """Temporary function to plot data. Expected to be
+ implemented in plotter
+
+ :param level: Topological Level (level in :mod:`trappy.stats.Topology`)
+ :type level: str
+
+ :param per_line: Number of plots per line
+ :type per_line: int
+ """
+ from trappy.plotter.PlotLayout import PlotLayout
+
+ num_plots = self._first_agg.topology.level_span(level)
+ result_1 = self._first_agg.aggregate(level=level, **self._agg_kwargs)
+ result_2 = self._second_agg.aggregate(level=level, **self._agg_kwargs)
+ layout = PlotLayout(per_line, num_plots)
+
+ plot_index = 0
+
+ for group_id, result_group in enumerate(result_1):
+ s_x = result_group
+ s_y = result_2[group_id]
+
+ s_x = self._resample(s_x)
+ s_y = self._resample(s_y)
+
+ s_x, s_y = shift_series(s_x, s_y, self._shift)
+
+ ymax = 1.25 + max(max(s_x.values), max(s_y.values)) + 1
+ ymin = min(min(s_x.values), min(s_y.values)) - 1
+ ylim = [ymin, ymax]
+ ylim = [-1, 3]
+
+ axis = layout.get_axis(plot_index)
+
+ axis.plot(s_x.index, s_x.values)
+ axis.plot(s_y.index, s_y.values)
+
+ axis.set_ylim(ylim)
+ plot_index += 1
+ layout.finish(plot_index)
+
+ def _correlate(self, s_x, s_y):
+
+ if self._corrfunc != None:
+ f = self._corrfunc
+ return f(s_x, s_y)
+ else:
+ return s_x.corr(s_y)
+
+ def _align_top_level(self):
+ """Temporary function to plot data. Expected to be
+ implemented in plotter
+ """
+
+ result_1 = self._first_agg.aggregate(level="all")
+ result_2 = self._second_agg.aggregate(level="all")
+
+ s_x = self._resample(result_1[0])
+ s_y = self._resample(result_2[0])
+
+
+ front_x, front_y, front_shift = align(s_x, s_y, mode="front")
+ front_corr = self._correlate(front_x, front_y)
+
+ back_x, back_y, back_shift = align(s_x, s_y, mode="back")
+ back_corr = self._correlate(back_x, back_y)
+
+ if math.isnan(back_corr):
+ back_corr = 0
+ if math.isnan(front_corr):
+ front_corr = 0
+
+ if front_corr >= back_corr:
+ return front_shift
+ else:
+ return back_shift
+
+
+
+def align(s_x, s_y, mode="front"):
+ """Function to align the input series
+
+ :param s_x: First Series
+ :type s_x: :mod:`pandas.Series`
+
+ :param s_y: Second Series
+ :type s_y: :mod:`pandas.Series`
+
+ :param mode: Align Front/Back
+ :type mode: str
+ """
+
+ p_x = np.flatnonzero(s_x)
+ p_y = np.flatnonzero(s_y)
+
+ if not len(p_x) or not len(p_y):
+ return s_x, s_y, 0
+
+ if mode == "front":
+ p_x = p_x[0]
+ p_y = p_y[0]
+
+ if mode == "back":
+ p_x = p_x[-1]
+ p_y = p_y[-1]
+
+ shift = p_x - p_y
+
+ s_x, s_y = shift_series(s_x, s_y, shift)
+ return s_x, s_y, shift
+
+def shift_series(s_x, s_y, shift):
+ """Shift series to align
+ :param s_x: First Series
+ :type s_x: :mod:`pandas.Series`
+
+ :param s_y: Second Series
+ :type s_y: :mod:`pandas.Series`
+
+ :param shift: The number of index
+ positions to be shifted
+ :type shift: int
+ """
+
+ if shift > 0:
+ s_y = s_y.shift(shift)
+ else:
+ s_x = s_x.shift(-1 * shift)
+
+ return s_x, s_y
diff --git a/trappy/stats/Indexer.py b/trappy/stats/Indexer.py
new file mode 100644
index 0000000..32b5ee1
--- /dev/null
+++ b/trappy/stats/Indexer.py
@@ -0,0 +1,102 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Indexers are responsible for providing indexes for
+ aggregations and provide specific functions like
+ unification and resampling.
+"""
+
+import pandas as pd
+import numpy as np
+from trappy.utils import listify
+from trappy.stats import StatConf
+
+class Indexer(object):
+ """Indexer base class is an encapsulation
+ around the pandas Index object with some
+ special functionality
+
+ :param index: Pandas index object. This can be
+ non-unoform and non-unique
+ :type index: :mod:`pandas.Index`
+
+ :param traces: trappy FTrace list/singular object
+ :type traces: :mod:`trappy.trace.FTrace`
+ """
+
+ def __init__(self, index):
+ self.index = index
+
+ def series(self):
+ """Returns an empty series with the initialized index
+ """
+ return pd.Series(np.zeros(len(self.index)), index=self.index)
+
+ def get_uniform(self, delta=StatConf.DELTA_DEFAULT):
+ """
+ :param delta: Difference between two indices. This has a
+ default value specified in StatConf.DELTA_DEFAULT
+ :type delta: float
+
+ :return: A uniformly spaced index.
+ """
+
+ uniform_start = self.index.values[0]
+ uniform_end = self.index.values[-1]
+ new_index = np.arange(uniform_start, uniform_end, delta)
+ return new_index
+
+def get_unified_indexer(indexers):
+ """Unify the List of Indexers
+
+ :param indexers: A list of indexers
+ :type indexers: :mod:`trappy.stats.Indexer.Indexer`
+
+ :return: A :mod:`pandas.Indexer.Indexer`
+ with a unfied index
+ """
+
+ new_index = indexers[0].index
+
+ for idx in indexers[1:]:
+ new_index = new_index.union(idx.index)
+
+ return Indexer(new_index)
+
+class MultiTriggerIndexer(Indexer):
+ """"The index unifies the indices of all trigger
+ events.
+
+ :param triggers: A (list or single) trigger
+ :type triggers: :mod:`trappy.stats.Trigger.Trigger`
+ """
+
+ def __init__(self, triggers):
+
+ self._triggers = listify(triggers)
+ super(MultiTriggerIndexer, self).__init__(self._unify())
+
+ def _unify(self):
+ """Function to unify all the indices of each trigger
+ """
+
+ idx = pd.Index([])
+ for trigger in self._triggers:
+ trace = trigger.trace
+ trappy_event = getattr(trace, trigger.template.name)
+ idx = idx.union(trappy_event.data_frame.index)
+
+
+ return pd.Index(np.unique(idx.values))
diff --git a/trappy/stats/StatConf.py b/trappy/stats/StatConf.py
new file mode 100644
index 0000000..1437af4
--- /dev/null
+++ b/trappy/stats/StatConf.py
@@ -0,0 +1,28 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Config Parameters for the Statistics Framework"""
+
+# Default interval between a uniform time series
+DELTA_DEFAULT = 0.000025
+"""The default delta for uniformly resampled data"""
+GRAMMAR_DEFAULT_PIVOT = "NO_PIVOT"
+"""Default pivot value for :mod:`trappy.stats.grammar`"""
+REINDEX_METHOD_DEFAULT = "pad"
+"""Default method for reindexing and filling up NaNs"""
+REINDEX_LIMIT_DEFAULT = None
+"""Number or indices a value will be propagated forward when reindexing"""
+NAN_FILL_DEFAULT = True
+"""Fill NaN values by default"""
diff --git a/trappy/stats/Topology.py b/trappy/stats/Topology.py
new file mode 100644
index 0000000..014581e
--- /dev/null
+++ b/trappy/stats/Topology.py
@@ -0,0 +1,179 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""A Topology can be defined as an arrangement of
+fundamental nodes, in various levels. Each topology
+has a default level "all" which has each node represented
+as a group. For example:
+
+ +--------+---------------------------------------+
+ | level | groups |
+ +========+=======================================+
+ | all | :code:`[[0, 1, 2, 3, 4]]` |
+ +--------+---------------------------------------+
+ | cluster| :code:`[[0, 1], [2, 3, 4]]` |
+ +--------+---------------------------------------+
+ | cpu | :code:`[[0], [1], [2], [3], [4], [5]]`|
+ +--------+---------------------------------------+
+
+"""
+
+class Topology(object):
+ """Topology object allows grouping of
+ pivot values (called nodes) at multiple levels.
+ The implementation is targeted towards CPU topologies
+ but can be used generically as well
+
+ :param clusters: clusters can be defined as a
+ list of groups which are again lists of nodes.
+
+ .. note::
+
+ This is not a mandatory
+ argument but can be used to quickly create typical
+ CPU topologies.
+
+ For Example:
+ ::
+
+ from trappy.stats.Topology import Topology
+
+ CLUSTER_A = [0, 1]
+ CLUTSER_B = [2, 3]
+
+ clusters = [CLUSTER_A, CLUSTER_B]
+ topology = Topology(clusters=clusters)
+
+ :type clusters: list
+ """
+
+ def __init__(self, clusters=[]):
+ self._levels = {}
+ self._nodes = set()
+
+ if len(clusters):
+ self.add_to_level("cluster", clusters)
+ cpu_level = []
+ for node in self.flatten():
+ cpu_level.append([node])
+ self.add_to_level("cpu", cpu_level)
+
+ def __repr__(self):
+ repr_str = ""
+ for level_name in self._levels:
+ repr_str += level_name + " " + \
+ self.get_level(level_name).__repr__() + \
+ "\n"
+ return repr_str
+
+ def add_to_level(self, level_name, level_vals):
+ """Add a group to a level
+
+ This function allows to append a
+ group of nodes to a level. If the level
+ does not exist a new level is created
+
+ :param level_name: The name of the level
+ :type level_name: str
+
+ :level_vals: groups containing nodes
+ :type level_vals: list of lists:
+ """
+
+ if level_name not in self._levels:
+ self._levels[level_name] = []
+
+ self._levels[level_name] += level_vals
+
+ for group in level_vals:
+ self._nodes = self._nodes.union(set(group))
+
+ def get_level(self, level_name):
+ """Returns the groups of nodes associated
+ with a level
+
+ :param level_name: The name of the level
+ :type level_name: str
+ """
+
+ if level_name == "all":
+ return [self.flatten()]
+ else:
+ return self._levels[level_name]
+
+ def get_index(self, level, node):
+ """Return the index of the node in the
+ level's list of nodes
+
+ :param level: The name of the level
+ :type level_name: str
+
+ :param node: The group for which the inde
+ is required
+
+ .. todo::
+
+ Change name of the arg to group
+
+ :type node: list
+ """
+
+ nodes = self.get_level(level)
+ return nodes.index(node)
+
+ def get_node(self, level, index):
+ """Get the group at the index in
+ the level
+
+ :param level: The name of the level
+ :type level_name: str
+
+ :param index: Index of the group in
+ the list
+ :type index: int
+ """
+
+ nodes = self.get_level(level)
+ return nodes[index]
+
+ def __iter__(self):
+ return self._levels.__iter__()
+
+ def flatten(self):
+ """Return a flattened list of nodes in the
+ topology
+ """
+ return list(self._nodes)
+
+ def level_span(self, level):
+ """Return the number of groups in a level
+
+ :param level: The name of the level
+ :type level_name: str
+ """
+ if level == "all":
+ return len(self._nodes)
+ else:
+ return len(self._levels[level])
+
+ def has_level(self, level):
+ """Returns true if level is present
+
+ :param level: The name of the level
+ :type level_name: str
+ """
+
+ return (level in self._levels)
+
diff --git a/trappy/stats/Trigger.py b/trappy/stats/Trigger.py
new file mode 100644
index 0000000..b2860d7
--- /dev/null
+++ b/trappy/stats/Trigger.py
@@ -0,0 +1,130 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Trigger is a representation of the following:
+
+ - Event(s) (:mod:`trappy.base.Base`)
+ - An associated value
+ - scalar
+ - vector
+ - A set of filters
+ - value based
+ - function based
+"""
+
+import types
+from trappy.utils import listify
+import pandas as pd
+
+
+class Trigger(object):
+ """Trigger is an event-value relationship which
+ accepts a trace object to "generate" qualified data
+
+ :param trace: A trappy FTrace object
+ :type trace: :mod:`trappy.trace.FTrace`
+
+ :param template: A trappy Event to act as a trigger
+ :type template: trappy.Base
+
+ :param filters: Key value filter pairs
+ :type filters: dict
+
+ The filter can either have a function:
+ ::
+
+ def function_based_filter(elem):
+ if condition:
+ return True
+ else:
+ return False
+
+ or a value/list of values
+ ::
+
+ f = {}
+ f["data_column_a"] = function_based_filter
+ f["data_column_b"] = value
+
+ function_based_filter is anything that behaves like a function,
+ i.e. a callable.
+
+ :param value: Value can be a string or a numeric
+ :type value: str, int, float
+
+ :param pivot: This is the column around which the data will be
+ pivoted
+ :type pivot: str
+ """
+
+ def __init__(self, trace, template, filters, value, pivot):
+
+ self.template = template
+ self._filters = filters
+ self._value = value
+ self._pivot = pivot
+ self.trace = trace
+
+ def generate(self, pivot_val):
+ """Generate the trigger data for a given pivot value
+ and a trace index
+
+ :param pivot_val: The pivot to generate data for
+ :type pivot_val: hashable
+ """
+
+ trappy_event = getattr(self.trace, self.template.name)
+ data_frame = trappy_event.data_frame
+ data_frame = data_frame[data_frame[self._pivot] == pivot_val]
+
+ mask = [True for _ in range(len(data_frame))]
+
+ for key, value in self._filters.iteritems():
+ if hasattr(value, "__call__"):
+ mask = mask & (data_frame[key].apply(value))
+ else:
+ mask = apply_filter_kv(key, value, data_frame, mask)
+
+ data_frame = data_frame[mask]
+
+ if isinstance(self._value, str):
+ return data_frame[value]
+ else:
+ return pd.Series(self._value, index=data_frame.index)
+
+
+def apply_filter_kv(key, value, data_frame, mask):
+ """Internal function to apply a key value
+ filter to a data_frame and update the initial
+ condition provided in mask.
+
+ :param value: The value to checked for
+
+ :param data_frame: The data to be filtered
+ :type data_frame: :mod:`pandas.DataFrame`
+
+ :param mask: Initial Condition Mask
+ :type mask: :mod:`pandas.Series`
+
+ :return: A **mask** to index the data frame
+ """
+
+ value = listify(value)
+ if key not in data_frame.columns:
+ return mask
+ else:
+ for val in value:
+ mask = mask & (data_frame[key] == val)
+ return mask
diff --git a/trappy/stats/__init__.py b/trappy/stats/__init__.py
new file mode 100644
index 0000000..82de515
--- /dev/null
+++ b/trappy/stats/__init__.py
@@ -0,0 +1,22 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+from trappy.stats import Aggregator
+from trappy.stats import Correlator
+from trappy.stats import Trigger
+from trappy.stats import Topology
+from trappy.stats import Indexer
+from trappy.stats import StatConf
diff --git a/trappy/stats/grammar.py b/trappy/stats/grammar.py
new file mode 100644
index 0000000..c0a9a63
--- /dev/null
+++ b/trappy/stats/grammar.py
@@ -0,0 +1,580 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Grammar module allows the user to easily define relations
+between data events and perform basic logical and arithmetic
+operations on the data. The parser also handles super-indexing
+and variable forwarding.
+"""
+from pyparsing import Literal, delimitedList, Optional, oneOf, nums,\
+ alphas, alphanums, Forward, Word, opAssoc, operatorPrecedence, Combine, Group
+import importlib
+import pandas as pd
+import types
+import numpy as np
+from trappy.stats.Topology import Topology
+from trappy.stats import StatConf
+from trappy.utils import handle_duplicate_index, listify
+
+
+def parse_num(tokens):
+ """Parser function for numerical data
+
+ :param tokens: The grammar tokens
+ :type tokens: list
+ """
+ return float(tokens[0])
+
+# Suppressed Literals
+LPAREN = Literal("(").suppress()
+RPAREN = Literal(")").suppress()
+COLON = Literal(":").suppress()
+EXP_START = Literal("[").suppress()
+EXP_END = Literal("]").suppress()
+
+# Grammar Tokens
+
+# DataFrame Accessor
+INTEGER = Combine(Optional(oneOf("+ -")) + Word(nums))\
+ .setParseAction(parse_num)
+REAL = Combine(Optional(oneOf("+ -")) + Word(nums) + "." +
+ Optional(Word(nums)) +
+ Optional(oneOf("e E") + Optional(oneOf("+ -")) + Word(nums)))\
+ .setParseAction(parse_num)
+
+# Generic Identifier
+IDENTIFIER = Word(alphas + '_', alphanums + '_')
+# Python Like Function Name
+FUNC_NAME = delimitedList(IDENTIFIER, delim=".", combine=True)
+# Exponentiation operators
+EXPONENTIATION_OPS = "**"
+# Unary Operators
+UNARY_OPS = oneOf("+ -")
+# Multiplication/Division Operators
+MULT_OPS = oneOf("* / // %")
+# Addition/Subtraction Operators
+SUM_OPS = oneOf("+ -")
+# Relational Operators
+REL_OPS = oneOf("> < >= <= == !=")
+# Logical Operators
+LOGICAL_OPS = oneOf("&& || & |")
+
+# Operator to function mapping
+OPERATOR_MAP = {
+ "+": lambda a, b: a + b,
+ "-": lambda a, b: a - b,
+ "*": lambda a, b: a * b,
+ "/": lambda a, b: a / b,
+ "//": lambda a, b: a // b,
+ "%": lambda a, b: a % b,
+ "**": lambda a, b: a ** b,
+ ">": lambda a, b: a > b,
+ "<": lambda a, b: a < b,
+ ">=": lambda a, b: a >= b,
+ "<=": lambda a, b: a <= b,
+ "||": lambda a, b: a or b,
+ "&&": lambda a, b: a and b,
+ "|": lambda a, b: a | b,
+ "==": lambda a, b: a == b,
+ "!=": lambda a, b: a != b,
+ "&": lambda a, b: a & b
+}
+
+
+def eval_unary_op(tokens):
+ """Unary Op Evaluation
+
+ :param tokens: The grammar tokens
+ :type tokens: list
+ """
+
+ params = tokens[0]
+ if params[0] == "-":
+ return -1 * params[1]
+ else:
+ return params[1]
+
+
+def iterate_binary_ops(tokens):
+ """An iterator for Binary Operation tokens
+
+ :param tokens: The grammar tokens
+ :type tokens: list
+ """
+
+ itr = iter(tokens)
+ while True:
+ try:
+ yield(itr.next(), itr.next())
+ except StopIteration:
+ break
+
+
+def eval_binary_op(tokens):
+ """Evaluate Binary operators
+
+ :param tokens: The grammar tokens
+ :type tokens: list
+ """
+
+ params = tokens[0]
+ result = params[0]
+
+ for opr, val in iterate_binary_ops(params[1:]):
+ result = OPERATOR_MAP[opr](result, val)
+
+ return result
+
+
+def str_to_attr(cls_str):
+ """Bring the attr specified into current scope
+ and return a handler
+
+ :param cls_str: A string representing the class
+ :type cls_str: str
+
+ :return: A class object
+ """
+ attr_name = cls_str.rsplit(".", 1)
+ if len(attr_name) == 2:
+ module_name, attr_name = attr_name
+ mod = importlib.import_module(module_name)
+ return getattr(mod, attr_name)
+ else:
+ attr_name = attr_name[0]
+ return globals()[attr_name]
+
+
+def get_parse_expression(parse_func, parse_var_id):
+ """return a parse expression with for the
+ input parseActions
+ """
+
+ var_id = Group(
+ FUNC_NAME + COLON + IDENTIFIER) | REAL | INTEGER | IDENTIFIER
+ var_id.setParseAction(parse_var_id)
+
+ # Forward declaration for an Arithmetic Expression
+ arith_expr = Forward()
+ func_call = Group(
+ FUNC_NAME +
+ LPAREN +
+ Optional(
+ Group(
+ delimitedList(arith_expr))) +
+ RPAREN)
+ # An Arithmetic expression can have a var_id or
+ # a function call as an operand
+ # pylint: disable=expression-not-assigned
+ arith_expr << operatorPrecedence(func_call | var_id,
+ [
+ (EXPONENTIATION_OPS, 2, opAssoc.LEFT,
+ eval_binary_op),
+ (UNARY_OPS, 1,
+ opAssoc.RIGHT, eval_unary_op),
+ (MULT_OPS, 2, opAssoc.LEFT,
+ eval_binary_op),
+ (SUM_OPS, 2, opAssoc.LEFT,
+ eval_binary_op),
+ (REL_OPS, 2, opAssoc.LEFT,
+ eval_binary_op),
+ (LOGICAL_OPS, 2,
+ opAssoc.LEFT, eval_binary_op)
+ ])
+
+ # pylint: enable=expression-not-assigned
+ # Argument expression for a function call
+ # An argument to a function can be an
+ # IDENTIFIER, Arithmetic expression, REAL number, INTEGER or a
+ # Function call itself
+ func_call.setParseAction(parse_func)
+ return arith_expr
+
+
+class Parser(object):
+
+ """A parser class for solving simple
+ data accesses and super-indexing data
+
+ :param data: Trace Object
+ :type data: instance of :mod:`trappy.ftrace.BareTrace` or a child
+ class (like :mod:`trappy.ftrace.FTrace`)
+
+ :param pvars: A dictionary of variables that need to be
+ accessed from within the grammar
+ :type pvars: dict
+
+ :param method: The method to be used for reindexing data
+ This can be one of the standas :mod:`pandas.DataFrame`
+ methods (eg. pad, bfill, nearest). The default is pad
+ or use the last valid observation.
+ :type method: str
+
+ :param limit: The number of indices a value will be propagated
+ when reindexing. The default is None
+ :type limit: int
+
+ :param fill: Whether to fill the NaNs in the data.
+ The default value is True.
+ :type fill: bool
+
+ :param window: A window of time in which to apply the data
+ accesses. By default the data accesses happen accross the
+ whole trace. With the window parameter you can limit it to a
+ window of time inside the trace. The first element of the
+ tuple is the starting time and the second the ending time (set
+ to None for end of trace).
+
+ :type window: tuple
+
+ :param filters: Restrict the parsing to the rows that match the
+ specified criteria. For Example:
+ ::
+
+ filters =
+ {
+ "pid": 3338,
+ "cpu": [0, 2, 4],
+ }
+
+ will only consider rows whose pid column is 3338 and cpu is
+ either 0, 2 or 4.
+ :type filters: dict
+
+ - **Operators**
+
+ +----------------+----------------------+---------------+
+ | Operation | operator | Associativity |
+ +================+======================+===============+
+ | Exponentiation | \*\* | Left |
+ +----------------+----------------------+---------------+
+ |Unary | \- | Right |
+ +----------------+----------------------+---------------+
+ | Multiply/Divide| \*, /, //, % | Left |
+ +----------------+----------------------+---------------+
+ | Add/Subtract | +, \-, | Left |
+ +----------------+----------------------+---------------+
+ | Comparison | >, <, >=, <=, ==, != | Left |
+ +----------------+----------------------+---------------+
+ | Logical | &&, ||, \|, & | Left |
+ +----------------+----------------------+---------------+
+
+ - **Data Accessors**
+
+ Since the goal of the grammar is to provide an
+ easy language to access and compare data
+ from a :mod:`trappy.trace.FTrace` object. The parser provides
+ a simple notation to access this data.
+
+ *Statically Defined Events*
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace)
+ parser.solve("trappy.thermal.Thermal:temp * 2")
+
+ *Aliasing*
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+
+ pvars = {"THERMAL": trappy.thermal.Thermal}
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace, pvars=pvars)
+ parser.solve("THERMAL:temp * 2")
+
+ *Using Event Name*
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace)
+ parser.solve("thermal:temp * 2")
+
+ The event :mod:`trappy.thermal.Thermal` is aliased
+ as **thermal** in the grammar
+
+ *Dynamic Events*
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+
+ # Register Dynamic Event
+ cls = trappy.register_dynamic_ftrace("my_unique_word", "event_name")
+
+ pvars = {"CUSTOM": cls}
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace, pvars=pvars)
+ parser.solve("CUSTOM:col * 2")
+
+ .. seealso:: :mod:`trappy.dynamic.register_dynamic_ftrace`
+
+ """
+
+ def __init__(self, data, pvars=None, window=(0, None), filters=None, **kwargs):
+ if pvars is None:
+ pvars = {}
+
+ self.data = data
+ self._pvars = pvars
+ self._accessor = Group(
+ FUNC_NAME + COLON + IDENTIFIER).setParseAction(self._pre_process)
+ self._inspect = Group(
+ FUNC_NAME + COLON + IDENTIFIER).setParseAction(self._parse_for_info)
+ self._parse_expr = get_parse_expression(
+ self._parse_func, self._parse_var_id)
+ self._agg_df = pd.DataFrame()
+ self._pivot_set = set()
+ self._limit = kwargs.get("limit", StatConf.REINDEX_LIMIT_DEFAULT)
+ self._method = kwargs.get("method", StatConf.REINDEX_METHOD_DEFAULT)
+ self._fill = kwargs.get("fill", StatConf.NAN_FILL_DEFAULT)
+ self._window = window
+ self._filters = filters
+
+ def solve(self, expr):
+ """Parses and solves the input expression
+
+ :param expr: The input expression
+ :type expr: str
+
+ :return: The return type may vary depending on
+ the expression. For example:
+
+ **Vector**
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace)
+ parser.solve("trappy.thermal.Thermal:temp * 2")
+
+ **Scalar**
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace)
+ parser.solve("numpy.mean(trappy.thermal.Thermal:temp)")
+
+ **Vector Mask**
+ ::
+
+ import trappy
+ from trappy.stats.grammar import Parser
+
+ trace = trappy.FTrace("path/to/trace/file")
+ parser = Parser(trace)
+ parser.solve("trappy.thermal.Thermal:temp > 65000")
+ """
+
+ # Pre-process accessors for indexing
+ self._accessor.searchString(expr)
+ return self._parse_expr.parseString(expr)[0]
+
+
+ """
+
+ # Pre-process accessors for indexing
+ self._accessor.searchString(expr)
+ return self._parse_expr.parseString(expr)[0]
+
+
+ """
+
+ # Pre-process accessors for indexing
+ self._accessor.searchString(expr)
+ return self._parse_expr.parseString(expr)[0]
+
+ def _pivot(self, cls, column):
+ """Pivot Data for concatenation"""
+
+ data_frame = self._get_data_frame(cls)
+ if data_frame.empty:
+ raise ValueError("No events found for {}".format(cls.name))
+
+ data_frame = handle_duplicate_index(data_frame)
+ new_index = self._agg_df.index.union(data_frame.index)
+
+ if hasattr(cls, "pivot") and cls.pivot:
+ pivot = cls.pivot
+ pivot_vals = list(np.unique(data_frame[pivot].values))
+ data = {}
+
+
+ for val in pivot_vals:
+ data[val] = data_frame[data_frame[pivot] == val][[column]]
+ if len(self._agg_df):
+ data[val] = data[val].reindex(
+ index=new_index,
+ method=self._method,
+ limit=self._limit)
+
+ return pd.concat(data, axis=1).swaplevel(0, 1, axis=1)
+
+ if len(self._agg_df):
+ data_frame = data_frame.reindex(
+ index=new_index,
+ method=self._method,
+ limit=self._limit)
+
+ return pd.concat({StatConf.GRAMMAR_DEFAULT_PIVOT: data_frame[
+ [column]]}, axis=1).swaplevel(0, 1, axis=1)
+
+ def _pre_process(self, tokens):
+ """Pre-process accessors for super-indexing"""
+
+ params = tokens[0]
+ if params[1] in self._agg_df.columns:
+ return self._agg_df[params[1]]
+
+ event = params[0]
+ column = params[1]
+
+ if event in self._pvars:
+ cls = self._pvars[event]
+ elif event in self.data.class_definitions:
+ cls = self.data.class_definitions[event]
+ else:
+ try:
+ cls = str_to_attr(event)
+ except KeyError:
+ raise ValueError(
+ "Can't find parser class for event {}".format(event))
+
+ data_frame = self._pivot(cls, column)
+ self._agg_df = pd.concat(
+ [self._agg_df, data_frame], axis=1)
+
+ if self._fill:
+ self._agg_df = self._agg_df.fillna(method="pad")
+
+ return self._agg_df[params[1]]
+
+ def _parse_for_info(self, tokens):
+ """Parse Action for inspecting data accessors"""
+
+ params = tokens[0]
+ cls = params[0]
+ column = params[1]
+ info = {}
+ info["pivot"] = None
+ info["pivot_values"] = None
+
+ if cls in self._pvars:
+ cls = self._pvars[cls]
+ elif cls in self.data.class_definitions:
+ cls = self.data.class_definitions[cls]
+ else:
+ cls = str_to_attr(cls)
+
+ data_frame = self._get_data_frame(cls)
+
+ info["class"] = cls
+ info["length"] = len(data_frame)
+ if hasattr(cls, "pivot") and cls.pivot:
+ info["pivot"] = cls.pivot
+ info["pivot_values"] = list(np.unique(data_frame[cls.pivot]))
+ info["column"] = column
+ info["column_present"] = column in data_frame.columns
+ return info
+
+ def _parse_var_id(self, tokens):
+ """A function to parse a variable identifier
+ """
+
+ params = tokens[0]
+ try:
+ return float(params)
+ except (ValueError, TypeError):
+ try:
+ return self._pvars[params]
+ except KeyError:
+ return self._agg_df[params[1]]
+
+ def _parse_func(self, tokens):
+ """A function to parse a function string"""
+
+ params = tokens[0]
+ func_name = params[0]
+ if func_name in self._pvars and isinstance(
+ self._pvars[func_name],
+ types.FunctionType):
+ func = self._pvars[func_name]
+ else:
+ func = str_to_attr(params[0])
+ return func(*params[1])
+
+ def _get_data_frame(self, cls):
+ """Get the data frame from the BareTrace object, applying the window
+ and the filters"""
+
+ data_frame = getattr(self.data, cls.name).data_frame
+
+ if data_frame.empty:
+ return data_frame
+ elif self._window[1] is None:
+ data_frame = data_frame.loc[self._window[0]:]
+ else:
+ data_frame = data_frame.loc[self._window[0]:self._window[1]]
+
+ if self._filters:
+ criterion = pd.Series([True] * len(data_frame),
+ index=data_frame.index)
+
+ for filter_col, wanted_vals in self._filters.iteritems():
+ try:
+ dfr_col = data_frame[filter_col]
+ except KeyError:
+ continue
+
+ criterion &= dfr_col.isin(listify(wanted_vals))
+
+ data_frame = data_frame[criterion]
+
+ return data_frame
+
+ def ref(self, mask):
+ """Reference super indexed data with a boolean mask
+
+ :param mask: A boolean :mod:`pandas.Series` that
+ can be used to reference the aggregated data in
+ the parser
+ :type mask: :mod:`pandas.Series`
+
+ :return: aggregated_data[mask]
+ """
+
+ return self._agg_df[mask]
+
+ def inspect(self, accessor):
+ """A function to inspect the accessor for information
+
+ :param accessor: A data accessor of the format
+ <event>:<column>
+ :type accessor: str
+
+ :return: A dictionary of information
+ """
+ return self._inspect.parseString(accessor)[0]
diff --git a/trappy/systrace.py b/trappy/systrace.py
new file mode 100644
index 0000000..c11601d
--- /dev/null
+++ b/trappy/systrace.py
@@ -0,0 +1,80 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+from trappy.ftrace import GenericFTrace
+
+class drop_before_trace(object):
+ """Object that, when called, returns True if the line is not part of
+the trace
+
+ We have to first look for the "<!-- BEGIN TRACE -->" and then skip
+ the headers that start with #
+
+ """
+ def __init__(self):
+ self.before_begin_trace = True
+ self.before_script_trace_data = True
+ self.before_actual_trace = True
+
+ def __call__(self, line):
+ if self.before_begin_trace:
+ if line.startswith("<!-- BEGIN TRACE -->") or \
+ line.startswith("<title>Android System Trace</title>"):
+ self.before_begin_trace = False
+ elif self.before_script_trace_data:
+ if line.startswith(' <script class="trace-data"') or \
+ line.startswith(" var linuxPerfData"):
+ self.before_script_trace_data = False
+ elif not line.startswith("#"):
+ self.before_actual_trace = False
+
+ return self.before_actual_trace
+
+class SysTrace(GenericFTrace):
+ """A wrapper that parses all events of a SysTrace run
+
+ It receives the same parameters as :mod:`trappy.ftrace.FTrace`.
+
+ """
+
+ def __init__(self, path=".", name="", normalize_time=True, scope="all",
+ events=[], event_callbacks={}, window=(0, None),
+ abs_window=(0, None), build_df=True):
+
+ self.trace_path = path
+
+ super(SysTrace, self).__init__(name, normalize_time, scope, events,
+ event_callbacks, window, abs_window,
+ build_df)
+ if not build_df:
+ return
+ try:
+ self._cpus = 1 + self.sched_switch.data_frame["__cpu"].max()
+ except AttributeError:
+ pass
+
+ def trace_hasnt_started(self):
+ return drop_before_trace()
+
+ def trace_hasnt_finished(self):
+ """Return a function that returns True while the current line is still part of the trace
+
+ In Systrace, the first line that is not part of the trace is
+ </script>. There's a further "<!-- END TRACE -->" but there's
+ not point scanning for it, we should stop parsing as soon as
+ we see the </script>
+
+ """
+ return lambda x: not x.endswith("</script>\n")
diff --git a/trappy/thermal.py b/trappy/thermal.py
new file mode 100644
index 0000000..bde69be
--- /dev/null
+++ b/trappy/thermal.py
@@ -0,0 +1,310 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Process the output of the power allocator trace in the current
+directory's trace.dat"""
+
+from collections import OrderedDict
+import pandas as pd
+import re
+
+from trappy.base import Base
+from trappy.dynamic import register_ftrace_parser
+
+class Thermal(Base):
+ """Process the thermal framework data in a FTrace dump"""
+
+ unique_word = "thermal_temperature:"
+ """The unique word that will be matched in a trace line"""
+
+ name = "thermal"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ pivot = "id"
+ """The Pivot along which the data is orthogonal"""
+
+ def plot_temperature(self, control_temperature=None, title="", width=None,
+ height=None, ylim="range", ax=None, legend_label="",
+ tz_id=None):
+ """Plot the temperature.
+
+ :param ax: Axis instance
+ :type ax: :mod:`matplotlib.Axis`
+
+ :param legend_label: Label for the legend
+ :type legend_label: str
+
+ :param title: The title of the plot
+ :type title: str
+
+ :param control_temperature: If control_temp is a
+ :mod:`pd.Series` representing the (possible)
+ variation of :code:`control_temp` during the
+ run, draw it using a dashed yellow line.
+ Otherwise, only the temperature is plotted.
+ :type control_temperature: :mod:`pandas.Series`
+
+ :param width: The width of the plot
+ :type width: int
+
+ :param height: The height of the plot
+ :type height: int
+
+ :param tz_id: thermal zone id as it appears in the id field of
+ the thermal_temperature trace event
+ :type tz_id: int
+
+ """
+ from matplotlib import pyplot as plt
+ from trappy.plot_utils import normalize_title, pre_plot_setup, post_plot_setup
+
+ title = normalize_title("Temperature", title)
+
+ if len(self.data_frame) == 0:
+ raise ValueError("Empty DataFrame")
+
+ thermal_dfr = self.data_frame
+ if tz_id is not None:
+ thermal_dfr = thermal_dfr[thermal_dfr["id"] == tz_id]
+ if len(thermal_dfr) == 0:
+ raise ValueError("No thermal_temperature trace for thermal zone {}".format(tz_id))
+
+ setup_plot = False
+ if not ax:
+ ax = pre_plot_setup(width, height)
+ setup_plot = True
+
+ temp_label = normalize_title("Temperature", legend_label)
+ (thermal_dfr["temp"] / 1000).plot(ax=ax, label=temp_label)
+ if control_temperature is not None:
+ ct_label = normalize_title("Control", legend_label)
+ control_temperature.plot(ax=ax, color="y", linestyle="--",
+ label=ct_label)
+
+ if setup_plot:
+ post_plot_setup(ax, title=title, ylim=ylim)
+ plt.legend()
+
+ def plot_temperature_hist(self, ax, title):
+ """Plot a temperature histogram
+
+ :param ax: Axis instance
+ :type ax: :mod:`matplotlib.Axis`
+
+ :param title: The title of the plot
+ :type title: str
+ """
+ from trappy.plot_utils import normalize_title, plot_hist
+
+ temps = self.data_frame["temp"] / 1000
+ title = normalize_title("Temperature", title)
+ xlim = (0, temps.max())
+
+ plot_hist(temps, ax, title, "C", 30, "Temperature", xlim, "default")
+
+register_ftrace_parser(Thermal, "thermal")
+
+class ThermalGovernor(Base):
+ """Process the power allocator data in a ftrace dump"""
+
+ unique_word = "thermal_power_allocator:"
+ """The unique word that will be matched in a trace line"""
+
+ name = "thermal_governor"
+ """The name of the :mod:`pandas.DataFrame` member that will be created in a
+ :mod:`trappy.ftrace.FTrace` object"""
+
+ pivot = "thermal_zone_id"
+ """The Pivot along which the data is orthogonal"""
+
+ def plot_temperature(self, title="", width=None, height=None, ylim="range",
+ ax=None, legend_label=""):
+ """Plot the temperature"""
+ from matplotlib import pyplot as plt
+ from trappy.plot_utils import normalize_title, pre_plot_setup, post_plot_setup
+
+ dfr = self.data_frame
+ curr_temp = dfr["current_temperature"]
+ control_temp_series = (curr_temp + dfr["delta_temperature"]) / 1000
+ title = normalize_title("Temperature", title)
+
+ setup_plot = False
+ if not ax:
+ ax = pre_plot_setup(width, height)
+ setup_plot = True
+
+ temp_label = normalize_title("Temperature", legend_label)
+ (curr_temp / 1000).plot(ax=ax, label=temp_label)
+ control_temp_series.plot(ax=ax, color="y", linestyle="--",
+ label="control temperature")
+
+ if setup_plot:
+ post_plot_setup(ax, title=title, ylim=ylim)
+ plt.legend()
+
+ def plot_input_power(self, actor_order, title="", width=None, height=None,
+ ax=None):
+ """Plot input power
+
+ :param ax: Axis instance
+ :type ax: :mod:`matplotlib.Axis`
+
+ :param title: The title of the plot
+ :type title: str
+
+ :param width: The width of the plot
+ :type width: int
+
+ :param height: The height of the plot
+ :type int: int
+
+ :param actor_order: An array showing the order in which the actors
+ were registered. The array values are the labels that
+ will be used in the input and output power plots.
+
+ For Example:
+ ::
+
+ ["GPU", "A15", "A7"]
+
+ :type actor_order: list
+ """
+ from trappy.plot_utils import normalize_title, pre_plot_setup, post_plot_setup
+
+ dfr = self.data_frame
+ in_cols = [s for s in dfr.columns if re.match("req_power[0-9]+", s)]
+
+ plot_dfr = dfr[in_cols]
+ # Rename the columns from "req_power0" to "A15" or whatever is
+ # in actor_order. Note that we can do it just with an
+ # assignment because the columns are already sorted (i.e.:
+ # req_power0, req_power1...)
+ plot_dfr.columns = actor_order
+
+ title = normalize_title("Input Power", title)
+
+ if not ax:
+ ax = pre_plot_setup(width, height)
+
+ plot_dfr.plot(ax=ax)
+ post_plot_setup(ax, title=title)
+
+ def plot_weighted_input_power(self, actor_weights, title="", width=None,
+ height=None, ax=None):
+ """Plot weighted input power
+
+ :param actor_weights: An array of tuples. First element of the
+ tuple is the name of the actor, the second is the weight. The
+ array is in the same order as the :code:`req_power` appear in the
+ trace.
+ :type actor_weights: list
+
+ :param ax: Axis instance
+ :type ax: :mod:`matplotlib.Axis`
+
+ :param title: The title of the plot
+ :type title: str
+
+ :param width: The width of the plot
+ :type width: int
+
+ :param height: The height of the plot
+ :type int: int
+ """
+ from trappy.plot_utils import normalize_title, pre_plot_setup, post_plot_setup
+
+ dfr = self.data_frame
+ in_cols = [s for s in dfr.columns if re.match(r"req_power\d+", s)]
+
+ plot_dfr_dict = OrderedDict()
+ for in_col, (name, weight) in zip(in_cols, actor_weights):
+ plot_dfr_dict[name] = dfr[in_col] * weight / 1024
+
+ plot_dfr = pd.DataFrame(plot_dfr_dict)
+
+ title = normalize_title("Weighted Input Power", title)
+
+ if not ax:
+ ax = pre_plot_setup(width, height)
+
+ plot_dfr.plot(ax=ax)
+ post_plot_setup(ax, title=title)
+
+ def plot_output_power(self, actor_order, title="", width=None, height=None,
+ ax=None):
+ """Plot output power
+
+ :param ax: Axis instance
+ :type ax: :mod:`matplotlib.Axis`
+
+ :param title: The title of the plot
+ :type title: str
+
+ :param width: The width of the plot
+ :type width: int
+
+ :param height: The height of the plot
+ :type int: int
+
+ :param actor_order: An array showing the order in which the actors
+ were registered. The array values are the labels that
+ will be used in the input and output power plots.
+
+ For Example:
+ ::
+
+ ["GPU", "A15", "A7"]
+
+ :type actor_order: list
+ """
+ from trappy.plot_utils import normalize_title, pre_plot_setup, post_plot_setup
+
+ out_cols = [s for s in self.data_frame.columns
+ if re.match("granted_power[0-9]+", s)]
+
+ # See the note in plot_input_power()
+ plot_dfr = self.data_frame[out_cols]
+ plot_dfr.columns = actor_order
+
+ title = normalize_title("Output Power", title)
+
+ if not ax:
+ ax = pre_plot_setup(width, height)
+
+ plot_dfr.plot(ax=ax)
+ post_plot_setup(ax, title=title)
+
+ def plot_inout_power(self, title=""):
+ """Make multiple plots showing input and output power for each actor
+
+ :param title: The title of the plot
+ :type title: str
+ """
+ from trappy.plot_utils import normalize_title
+ dfr = self.data_frame
+
+ actors = []
+ for col in dfr.columns:
+ match = re.match("P(.*)_in", col)
+ if match and col != "Ptot_in":
+ actors.append(match.group(1))
+
+ for actor in actors:
+ cols = ["P" + actor + "_in", "P" + actor + "_out"]
+ this_title = normalize_title(actor, title)
+ dfr[cols].plot(title=this_title)
+
+register_ftrace_parser(ThermalGovernor, "thermal")
diff --git a/trappy/utils.py b/trappy/utils.py
new file mode 100644
index 0000000..eb73752
--- /dev/null
+++ b/trappy/utils.py
@@ -0,0 +1,104 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Generic functions that can be used in multiple places in trappy
+"""
+
+def listify(to_select):
+ """Utitlity function to handle both single and
+ list inputs
+ """
+
+ if not isinstance(to_select, list):
+ to_select = [to_select]
+
+ return to_select
+
+def handle_duplicate_index(data,
+ max_delta=0.000001):
+ """Handle duplicate values in index
+
+ :param data: The timeseries input
+ :type data: :mod:`pandas.Series`
+
+ :param max_delta: Maximum interval adjustment value that
+ will be added to duplicate indices
+ :type max_delta: float
+
+ Consider the following case where a series needs to be reindexed
+ to a new index (which can be required when different series need to
+ be combined and compared):
+ ::
+
+ import pandas
+ values = [0, 1, 2, 3, 4]
+ index = [0.0, 1.0, 1.0, 6.0, 7.0]
+ series = pandas.Series(values, index=index)
+ new_index = [0.0, 1.0, 2.0, 3.0, 4.0, 6.0, 7.0]
+ series.reindex(new_index)
+
+ The above code fails with:
+ ::
+
+ ValueError: cannot reindex from a duplicate axis
+
+ The function :func:`handle_duplicate_axis` changes the duplicate values
+ to
+ ::
+
+ >>> import pandas
+ >>> from trappy.utils import handle_duplicate_index
+
+ >>> values = [0, 1, 2, 3, 4]
+ index = [0.0, 1.0, 1.0, 6.0, 7.0]
+ series = pandas.Series(values, index=index)
+ series = handle_duplicate_index(series)
+ print series.index.values
+ >>> [ 0. 1. 1.000001 6. 7. ]
+
+ """
+
+ index = data.index
+ new_index = index.values
+
+ dups = index.get_duplicates()
+
+ for dup in dups:
+ # Leave one of the values intact
+ dup_index_left = index.searchsorted(dup, side="left")
+ dup_index_right = index.searchsorted(dup, side="right") - 1
+ num_dups = dup_index_right - dup_index_left + 1
+
+ # Calculate delta that needs to be added to each duplicate
+ # index
+ try:
+ delta = (index[dup_index_right + 1] - dup) / num_dups
+ except IndexError:
+ # dup_index_right + 1 is outside of the series (i.e. the
+ # dup is at the end of the series).
+ delta = max_delta
+
+ # Clamp the maximum delta added to max_delta
+ if delta > max_delta:
+ delta = max_delta
+
+ # Add a delta to the others
+ dup_index_left += 1
+ while dup_index_left <= dup_index_right:
+ new_index[dup_index_left] += delta
+ delta += delta
+ dup_index_left += 1
+
+ return data.reindex(new_index)
diff --git a/trappy/version.py b/trappy/version.py
new file mode 100644
index 0000000..76a3dd3
--- /dev/null
+++ b/trappy/version.py
@@ -0,0 +1,16 @@
+# Copyright 2016-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+__version__ = "5.10.0"
diff --git a/trappy/wa/__init__.py b/trappy/wa/__init__.py
new file mode 100644
index 0000000..cb29fd3
--- /dev/null
+++ b/trappy/wa/__init__.py
@@ -0,0 +1,26 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+"""A helper module to extract data from WorkloadAutomation
+output directories. WorkloadAutomation is a tool for
+automating the execution of workloads. For more information
+please visit https://github.com/ARM-software/workload-automation
+
+.. note::
+
+ TRAPpy does not have a dependency on workload automation
+"""
+
+from trappy.wa.results import Result, get_results, combine_results
+from trappy.wa.sysfs_extractor import SysfsExtractor
diff --git a/trappy/wa/results.py b/trappy/wa/results.py
new file mode 100644
index 0000000..4b0889c
--- /dev/null
+++ b/trappy/wa/results.py
@@ -0,0 +1,166 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+"""Parse the results from a Workload Automation run and show it in a
+"pretty" table
+
+"""
+
+import os
+import collections, csv, re
+import pandas as pd
+from matplotlib import pyplot as plt
+
+class Result(pd.DataFrame):
+ """A DataFrame-like class for storing benchmark results"""
+ def __init__(self, *args, **kwargs):
+ super(Result, self).__init__(*args, **kwargs)
+ self.ax = None
+
+ def init_fig(self):
+ _, self.ax = plt.subplots()
+
+ def enlarge_axis(self, data):
+ """Make sure that the axis don't clobber some of the data"""
+
+ (_, _, plot_y_min, plot_y_max) = plt.axis()
+
+ concat_data = pd.concat(data[s] for s in data)
+ data_min = min(concat_data)
+ data_max = max(concat_data)
+
+ # A good margin can be 10% of the data range
+ margin = (data_max - data_min) / 10
+ if margin < 1:
+ margin = 1
+
+ update_axis = False
+
+ if data_min <= plot_y_min:
+ plot_y_min = data_min - margin
+ update_axis = True
+
+ if data_max >= plot_y_max:
+ plot_y_max = data_max + margin
+ update_axis = True
+
+ if update_axis:
+ self.ax.set_ylim(plot_y_min, plot_y_max)
+
+ def plot_results_benchmark(self, benchmark, title=None):
+ """Plot the results of the execution of a given benchmark
+
+ A title is added to the plot if title is not supplied
+ """
+
+ if title is None:
+ title = benchmark.replace('_', ' ')
+ title = title.title()
+
+ self[benchmark].plot(ax=self.ax, kind="bar", title=title)
+ plt.legend(bbox_to_anchor=(1.05, .5), loc=6)
+
+ def plot_results(self):
+ for bench in self.columns.levels[0]:
+ self.plot_results_benchmark(bench)
+
+def get_run_number(metric):
+ found = False
+ run_number = None
+
+ if re.match("Overall_Score|score|FPS", metric):
+ found = True
+
+ match = re.search(r"(.+)[ _](\d+)", metric)
+ if match:
+ run_number = int(match.group(2))
+ if match.group(1) == "Overall_Score":
+ run_number -= 1
+ else:
+ run_number = 0
+
+ return (found, run_number)
+
+def get_results(path=".", name=None):
+ """Return a pd.DataFrame with the results
+
+ The DataFrame's rows are the scores. The first column is the
+ benchmark name and the second the id within it. For benchmarks
+ that have a score result, that's what's used. For benchmarks with
+ FPS_* result, that's the score. E.g. glbenchmarks "score" is it's
+ fps.
+
+ An optional name argument can be passed. If supplied, it overrides
+ the name in the results file.
+
+ """
+
+ bench_dict = collections.OrderedDict()
+
+ if os.path.isdir(path):
+ path = os.path.join(path, "results.csv")
+
+ with open(path) as fin:
+ results = csv.reader(fin)
+
+ for row in results:
+ (is_result, run_number) = get_run_number(row[3])
+
+ if is_result:
+ if name:
+ run_id = name
+ else:
+ run_id = re.sub(r"_\d+", r"", row[0])
+
+ bench = row[1]
+ try:
+ result = int(row[4])
+ except ValueError:
+ result = float(row[4])
+
+ if bench in bench_dict:
+ if run_id in bench_dict[bench]:
+ if run_number not in bench_dict[bench][run_id]:
+ bench_dict[bench][run_id][run_number] = result
+ else:
+ bench_dict[bench][run_id] = {run_number: result}
+ else:
+ bench_dict[bench] = {run_id: {run_number: result}}
+
+ bench_dfrs = {}
+ for bench, run_id_dict in bench_dict.iteritems():
+ bench_dfrs[bench] = pd.DataFrame(run_id_dict)
+
+ return Result(pd.concat(bench_dfrs.values(), axis=1,
+ keys=bench_dfrs.keys()))
+
+def combine_results(data):
+ """Combine two DataFrame results into one
+
+ The data should be an array of results like the ones returned by
+ get_results() or have the same structure. The returned DataFrame
+ has two column indexes. The first one is the benchmark and the
+ second one is the key for the result.
+
+ """
+
+ res_dict = {}
+ for benchmark in data[0].columns.levels[0]:
+ concat_objs = [d[benchmark] for d in data]
+ res_dict[benchmark] = pd.concat(concat_objs, axis=1)
+
+ combined = pd.concat(res_dict.values(), axis=1, keys=res_dict.keys())
+
+ return Result(combined)
diff --git a/trappy/wa/sysfs_extractor.py b/trappy/wa/sysfs_extractor.py
new file mode 100644
index 0000000..f45ed77
--- /dev/null
+++ b/trappy/wa/sysfs_extractor.py
@@ -0,0 +1,93 @@
+# Copyright 2015-2017 ARM Limited
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+
+
+import os
+import pandas as pd
+import re
+
+class SysfsExtractor(object):
+ """Operate on the parameters of a dump of Workload Automation's
+ sysfs extractor instrumentation.
+
+ :param path: The path to the workload in a output directory created by
+ WA.
+ :type path: str
+ """
+
+ def __init__(self, path):
+ self.thermal_path = os.path.join(path, "after", "sys", "devices",
+ "virtual", "thermal", "thermal_zone0")
+ self.properties = ["integral_cutoff", "k_d", "k_i", "k_po", "k_pu",
+ "policy", "sustainable_power"]
+
+ try:
+ sysfs_files = os.listdir(self.thermal_path)
+ except OSError:
+ sysfs_files = []
+
+ for fname in sysfs_files:
+ if re.search(r"cdev\d+_weight", fname):
+ self.properties.append(fname)
+ elif re.search(r"trip_point_\d+_temp", fname):
+ self.properties.append(fname)
+
+ def get_parameters(self):
+ """Get the parameters from a sysfs extractor dump
+
+ WorkloadAutomation (WA) can dump sysfs values using its
+ sysfs_extractor instrumentation. Parse the tree and return the
+ thermal parameters as a dict of key and values where the keys are
+ the names of the files and values its corresponding values.
+
+ """
+
+ ret = {}
+
+ for property_name in self.properties:
+ property_path = os.path.join(self.thermal_path, property_name)
+
+ if not os.path.isfile(property_path):
+ continue
+
+ with open(property_path) as fin:
+ contents = fin.read()
+ # Trim trailing newline
+ contents = contents[:-1]
+
+ try:
+ ret[property_name] = int(contents)
+ except ValueError:
+ ret[property_name] = contents
+
+ return ret
+
+ def pretty_print_in_ipython(self):
+ """Print parameters extracted from sysfs from a WA run in a pretty HTML table.
+
+ This won't work if the code is not running in an ipython notebook."""
+
+ from IPython.display import display, HTML
+
+ params = self.get_parameters()
+
+ # Don't print anything if we couldn't find any parameters
+ if len(params) == 0:
+ return
+
+ params_items = [(key, [value]) for key, value in sorted(params.items())]
+ dfr = pd.DataFrame.from_items(params_items, orient="index",
+ columns=["Value"])
+ display(HTML(dfr.to_html(header=False)))