summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorBob Badour <bbadour@google.com>2021-02-12 18:57:35 -0800
committerBob Badour <bbadour@google.com>2021-02-12 18:57:35 -0800
commitca8a099a26faf8ef744336b32fac127eb9005439 (patch)
tree3b97da75c5dcc560620bd6f4ec5fd5ec06da0117
parent6530472021b5aa44f7c6b02f59548753485a7fd3 (diff)
downloadsound_trigger_hal-ca8a099a26faf8ef744336b32fac127eb9005439.tar.gz
[LSC] Add LOCAL_LICENSE_KINDS to hardware/knowles/athletico/sound_trigger_hal
Added SPDX-license-identifier-Apache-2.0 to: Android.mk Bug: 68860345 Bug: 151177513 Bug: 151953481 Test: m all Exempt-From-Owner-Approval: janitorial work Change-Id: I5b488fa5e435e94b7a62b81bdc543d8126520e5d
-rw-r--r--Android.mk40
1 files changed, 40 insertions, 0 deletions
diff --git a/Android.mk b/Android.mk
index 75e6358..53c27d7 100644
--- a/Android.mk
+++ b/Android.mk
@@ -18,6 +18,8 @@ LOCAL_PATH := $(call my-dir)
include $(CLEAR_VARS)
LOCAL_MODULE := sound_trigger.primary.$(TARGET_BOARD_PLATFORM)
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_MODULE_RELATIVE_PATH := hw
LOCAL_SRC_FILES := sound_trigger_hw_iaxxx.c cvq_util.c
LOCAL_VENDOR_MODULE := true
@@ -41,6 +43,8 @@ include $(BUILD_SHARED_LIBRARY)
include $(CLEAR_VARS)
LOCAL_MODULE := adnc_strm.primary.default
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_MODULE_RELATIVE_PATH := hw
LOCAL_VENDOR_MODULE := true
LOCAL_SRC_FILES := adnc_strm.c
@@ -58,6 +62,8 @@ include $(BUILD_SHARED_LIBRARY)
include $(CLEAR_VARS)
LOCAL_MODULE := libtunnel
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_VENDOR_MODULE := true
LOCAL_SRC_FILES := tunnel.c
LOCAL_SHARED_LIBRARIES := liblog \
@@ -68,6 +74,8 @@ include $(BUILD_SHARED_LIBRARY)
include $(CLEAR_VARS)
LOCAL_MODULE := libodsp
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_VENDOR_MODULE := true
LOCAL_SRC_FILES := iaxxx_odsp_hw.c
LOCAL_SHARED_LIBRARIES := liblog \
@@ -80,6 +88,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := tunneling_hal_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_VENDOR_MODULE := true
LOCAL_SRC_FILES := tests/tunnel_test.c \
tests/conversion_routines.c
@@ -96,6 +106,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_VENDOR_MODULE := true
LOCAL_MODULE := sensor_param_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/sensor_param_test.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := liblog \
@@ -109,6 +121,8 @@ include $(BUILD_EXECUTABLE)
include $(CLEAR_VARS)
LOCAL_MODULE := libosloutils
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/oslo_sound_model_control.cpp \
tests/oslo_iaxxx_sensor_control.c
LOCAL_C_INCLUDES += external/tinyalsa/include \
@@ -128,6 +142,8 @@ include $(BUILD_SHARED_LIBRARY)
include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := oslo_data_injection_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/oslo_data_injection_test.c
LOCAL_32_BIT_ONLY := true
LOCAL_HEADER_LIBRARIES := libhardware_headers
@@ -141,6 +157,8 @@ include $(BUILD_EXECUTABLE)
include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := oslo_config_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/oslo_config_test.c
LOCAL_32_BIT_ONLY := true
LOCAL_HEADER_LIBRARIES := libhardware_headers
@@ -154,6 +172,8 @@ include $(BUILD_EXECUTABLE)
include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := oslo_get_stats
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/oslo_get_stats.c
LOCAL_HEADER_LIBRARIES := libhardware_headers
LOCAL_SHARED_LIBRARIES := liblog \
@@ -167,6 +187,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := spi_reliability_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/spi_reliability_test.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := liblog \
@@ -178,6 +200,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := oslo_package_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := ./tests/oslo_package_test.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := liblog \
@@ -190,6 +214,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := tunneling_hal_test_sensor
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/tunnel_test_sensor.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := liblog
@@ -200,6 +226,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_MODULE := odsp_api_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_VENDOR_MODULE := true
LOCAL_SRC_FILES := tests/odsp_api_test.c
LOCAL_C_INCLUDES += ./tests/
@@ -215,6 +243,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_VENDOR_MODULE := true
LOCAL_MODULE := dump_debug_info
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/dump_debug_info.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := liblog
@@ -226,6 +256,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_VENDOR_MODULE := true
LOCAL_MODULE := crash_event_logger
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/crash_event_logger.c tests/crash_analyzer.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := liblog libcutils
@@ -237,6 +269,8 @@ include $(CLEAR_VARS)
LOCAL_PRELINK_MODULE := false
LOCAL_VENDOR_MODULE := true
LOCAL_MODULE := setparamblk_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/setparamblk_test.c
LOCAL_32_BIT_ONLY := true
LOCAL_SHARED_LIBRARIES := libcutils \
@@ -246,6 +280,8 @@ include $(BUILD_EXECUTABLE)
include $(CLEAR_VARS)
LOCAL_MODULE := crash_trigger_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/crash_trigger_test.c
LOCAL_VENDOR_MODULE := true
LOCAL_C_INCLUDES += $(LOCAL_PATH)/../hal
@@ -256,6 +292,8 @@ include $(BUILD_EXECUTABLE)
include $(CLEAR_VARS)
LOCAL_MODULE := plugin_status_test
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_SRC_FILES := tests/plugin_status_test.c
LOCAL_VENDOR_MODULE := true
LOCAL_C_INCLUDES += $(LOCAL_PATH)/
@@ -266,6 +304,8 @@ include $(BUILD_EXECUTABLE)
include $(CLEAR_VARS)
LOCAL_MODULE := get_pwr_stats
+LOCAL_LICENSE_KINDS := SPDX-license-identifier-Apache-2.0
+LOCAL_LICENSE_CONDITIONS := notice
LOCAL_VENDOR_MODULE := true
LOCAL_SRC_FILES := tests/get_pwr_stats.c
LOCAL_C_INCLUDES += $(LOCAL_PATH)/