summaryrefslogtreecommitdiff
path: root/golden/P/arm64/lib/vndk-P/libstagefright_soft_amrnbenc.so_symbol.dump
diff options
context:
space:
mode:
Diffstat (limited to 'golden/P/arm64/lib/vndk-P/libstagefright_soft_amrnbenc.so_symbol.dump')
-rw-r--r--golden/P/arm64/lib/vndk-P/libstagefright_soft_amrnbenc.so_symbol.dump128
1 files changed, 128 insertions, 0 deletions
diff --git a/golden/P/arm64/lib/vndk-P/libstagefright_soft_amrnbenc.so_symbol.dump b/golden/P/arm64/lib/vndk-P/libstagefright_soft_amrnbenc.so_symbol.dump
new file mode 100644
index 0000000..9e9cd1c
--- /dev/null
+++ b/golden/P/arm64/lib/vndk-P/libstagefright_soft_amrnbenc.so_symbol.dump
@@ -0,0 +1,128 @@
+AMREncode
+AMREncodeExit
+AMREncodeInit
+AMREncodeReset
+Autocorr
+Convolve
+Enc_lag3
+Enc_lag6
+GSMEncodeFrame
+GSMEncodeFrameExit
+GSMInitEncode
+G_code
+G_pitch
+If2EncBytesPerFrame
+Interpol_3or6
+L_Comp
+L_Extract
+L_negate
+Lag_window
+Levinson
+Levinson_exit
+Levinson_init
+Levinson_reset
+MR475_gain_quant
+MR475_update_unq_pred
+MR795_gain_quant
+Pitch_fr
+Pitch_fr_exit
+Pitch_fr_init
+Pitch_fr_reset
+Pitch_ol
+Pitch_ol_wgh
+Pre_Process
+Pre_Process_exit
+Pre_Process_init
+Pre_Process_reset
+Prm2bits
+Qua_gain
+Speech_Encode_Frame_First
+Speech_Encode_Frame_reset
+Test_build_code
+Test_search_2i40
+WmfEncBytesPerFrame
+_Z15Lag_max_wrapperP9vadState1PiPssssssS2_iS1_
+_Z22createSoftOMXComponentPKcPK16OMX_CALLBACKTYPEPvPP17OMX_COMPONENTTYPE
+_Z3q_pPss
+_ZN7android16SoftAMRNBEncoder11initEncoderEv
+_ZN7android16SoftAMRNBEncoder13onQueueFilledEj
+_ZN7android16SoftAMRNBEncoder20internalGetParameterE13OMX_INDEXTYPEPv
+_ZN7android16SoftAMRNBEncoder20internalSetParameterE13OMX_INDEXTYPEPv
+_ZN7android16SoftAMRNBEncoder9initPortsEv
+_ZN7android16SoftAMRNBEncoderC1EPKcPK16OMX_CALLBACKTYPEPvPP17OMX_COMPONENTTYPE
+_ZN7android16SoftAMRNBEncoderC2EPKcPK16OMX_CALLBACKTYPEPvPP17OMX_COMPONENTTYPE
+_ZN7android16SoftAMRNBEncoderD0Ev
+_ZN7android16SoftAMRNBEncoderD1Ev
+_ZN7android16SoftAMRNBEncoderD2Ev
+_ZTVN7android16SoftAMRNBEncoderE
+calc_filt_energies
+calc_target_energy
+calc_unfilt_energies
+cbsearch
+check_gp_clipping
+check_lsp
+cl_ltp
+cl_ltp_exit
+cl_ltp_init
+cl_ltp_reset
+cod_amr
+cod_amr_exit
+cod_amr_first
+cod_amr_init
+cod_amr_reset
+code_10i40_35bits
+code_2i40_11bits
+code_2i40_9bits
+code_3i40_14bits
+code_4i40_17bits
+code_8i40_31bits
+comp_corr
+cor_h
+cor_h_x
+cor_h_x2
+corrweight
+dtx_buffer
+dtx_enc
+dtx_enc_exit
+dtx_enc_init
+dtx_enc_reset
+ets_to_if2
+ets_to_wmf
+gainQuant
+gainQuant_exit
+gainQuant_init
+gainQuant_reset
+gain_adapt
+gain_adapt_exit
+gain_adapt_init
+gain_adapt_reset
+hp_max
+inter_6
+lag_h
+lag_l
+lpc
+lpc_exit
+lpc_init
+lpc_reset
+ol_ltp
+p_ol_wgh_exit
+p_ol_wgh_init
+p_ol_wgh_reset
+pre_big
+q_gain_code
+q_gain_pitch
+search_10and8i40
+set_sign
+set_sign12k2
+sid_sync
+sid_sync_exit
+sid_sync_init
+sid_sync_reset
+sid_sync_set_handover_debt
+subframePostProc
+subframePreProc
+ton_stab_exit
+ton_stab_init
+ton_stab_reset
+tx_dtx_handler
+update_gp_clipping